NAME

o22a from sky130_fd_sc_lp

DESCRIPTION

2-input OR into both inputs of 2-input AND.

FUNCTION

X = ((A1 | A2) & (B1 | B2))

VERILOG

"sky130_fd_sc_lp__o22a"
/*
*/


`ifndef SKY130_FD_SC_LP__O22A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O22A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o22a (
    X ,
    A1,
    A2,
    B1,
    B2
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;

    // Local signals
    wire or0_out   ;
    wire or1_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1          );
    or  or1  (or1_out   , B2, B1          );
    and and0 (and0_out_X, or0_out, or1_out);
    buf buf0 (X         , and0_out_X      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O22A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o22a_m

not to scale



.subckt sky130_fd_sc_lp__o22a_m A1 A2 B1 B2 VGND VNB VPB VPWR X
X0 a_237_81# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_88_187# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_88_187# A2 a_519_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND A1 a_237_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_237_81# B1 a_88_187# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_88_187# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_519_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_339_535# B2 a_88_187# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VPWR B1 a_339_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_88_187# B2 a_237_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o22a_0

not to scale



.subckt sky130_fd_sc_lp__o22a_0 A1 A2 B1 B2 VGND VNB VPB VPWR X
X0 a_80_313# B2 a_286_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR B1 a_372_489# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VGND A1 a_286_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_536_489# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_286_125# B1 a_80_313# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_80_313# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_80_313# A2 a_536_489# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_372_489# B2 a_80_313# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 X a_80_313# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_286_125# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o22a_1

not to scale



.subckt sky130_fd_sc_lp__o22a_1 A1 A2 B1 B2 VGND VNB VPB VPWR X
X0 a_348_367# B2 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A1 a_265_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_80_21# A2 a_545_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_80_21# B2 a_265_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_545_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_265_47# B1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_265_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR B1 a_348_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o22a_2

not to scale


.subckt sky130_fd_sc_lp__o22a_2 A1 A2 B1 B2 VGND VNB VPB VPWR X
X0 VGND a_80_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_80_23# B2 a_303_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND A1 a_303_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_303_49# B1 a_80_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR a_80_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_303_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_80_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 X a_80_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_80_23# A2 a_566_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_566_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR B1 a_386_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_386_367# B2 a_80_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o22a_4

not to scale


.subckt sky130_fd_sc_lp__o22a_4 A1 A2 B1 B2 VGND VNB VPB VPWR X
X0 X a_86_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR B1 a_608_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_86_23# A2 a_982_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A1 a_982_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_86_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_86_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_86_23# B1 a_525_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_525_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_608_367# B2 a_86_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_982_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_86_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND A2 a_525_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_525_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_608_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND A1 a_525_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_86_23# B2 a_608_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR a_86_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 X a_86_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR a_86_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_982_367# A2 a_86_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_525_47# B1 a_86_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 X a_86_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_86_23# B2 a_525_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_525_47# B2 a_86_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends