NAME

o221a from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first two inputs of 3-input AND.

FUNCTION

X = ((A1 | A2) & (B1 | B2) & C1)

VERILOG

"sky130_fd_sc_lp__o221a"
/*
*/


`ifndef SKY130_FD_SC_LP__O221A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O221A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o221a (
    X ,
    A1,
    A2,
    B1,
    B2,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  B2;
    input  C1;

    // Local signals
    wire or0_out   ;
    wire or1_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , B2, B1              );
    or  or1  (or1_out   , A2, A1              );
    and and0 (and0_out_X, or0_out, or1_out, C1);
    buf buf0 (X         , and0_out_X          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O221A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o221a_m

not to scale



.subckt sky130_fd_sc_lp__o221a_m A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 VPWR a_27_179# X VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_110_179# B1 a_196_179# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_396_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND A2 a_196_179# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_27_179# A2 a_396_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_238_535# B2 a_27_179# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_27_179# C1 a_110_179# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_196_179# B2 a_110_179# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_27_179# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_196_179# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR B1 a_238_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VGND a_27_179# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o221a_0

not to scale



.subckt sky130_fd_sc_lp__o221a_0 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_449_484# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_32_484# C1 a_127_106# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_32_484# A2 a_449_484# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_213_106# B2 a_127_106# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_32_484# X VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR B1 a_269_484# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VGND A2 a_213_106# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_127_106# B1 a_213_106# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_213_106# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_269_484# B2 a_32_484# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_32_484# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 VPWR a_32_484# X VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o221a_1

not to scale



.subckt sky130_fd_sc_lp__o221a_1 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 a_273_49# B2 a_179_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_549_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_96_49# C1 a_179_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_287_367# B2 a_96_49# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_96_49# A2 a_549_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A2 a_273_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_273_49# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR B1 a_287_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_179_49# B1 a_273_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VGND a_96_49# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_96_49# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR a_96_49# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o221a_2

not to scale


.subckt sky130_fd_sc_lp__o221a_2 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 X a_36_67# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_36_67# C1 a_119_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_235_367# B2 a_36_67# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_36_67# A2 a_461_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_461_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_119_67# B1 a_205_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_36_67# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_205_67# B2 a_119_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A2 a_205_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR a_36_67# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR B1 a_235_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 X a_36_67# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_205_67# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VGND a_36_67# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o221a_4

not to scale


.subckt sky130_fd_sc_lp__o221a_4 A1 A2 B1 B2 C1 VGND VNB VPB VPWR X
X0 X a_112_65# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR a_112_65# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_726_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_29_65# C1 a_112_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_292_367# B2 a_112_65# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR B1 a_292_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_284_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_112_65# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND A2 a_284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_112_65# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR C1 a_112_65# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_284_65# B2 a_29_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_112_65# B2 a_292_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_29_65# B2 a_284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_284_65# B1 a_29_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND A1 a_284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR a_112_65# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 X a_112_65# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_284_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VGND a_112_65# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VPWR A1 a_726_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_292_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_112_65# C1 a_29_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_29_65# B1 a_284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_112_65# A2 a_726_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 X a_112_65# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_726_367# A2 a_112_65# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND a_112_65# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends