NAME

o21bai from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first input of 2-input NAND, 2nd iput inverted.

FUNCTION

Y = !((A1 | A2) & !B1_N)

VERILOG

"sky130_fd_sc_lp__o21bai"
/*
*/


`ifndef SKY130_FD_SC_LP__O21BAI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O21BAI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o21bai (
    Y   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output Y   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire b          ;
    wire or0_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    not  not0  (b          , B1_N           );
    or   or0   (or0_out    , A2, A1         );
    nand nand0 (nand0_out_Y, b, or0_out     );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O21BAI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o21bai_m

not to scale



.subckt sky130_fd_sc_lp__o21bai_m A1 A2 B1_N VGND VNB VPB VPWR Y
X0 Y a_32_62# a_320_78# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_32_62# Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_320_78# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y A2 a_315_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_315_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND A1 a_320_78# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_32_62# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_32_62# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o21bai_0

not to scale



.subckt sky130_fd_sc_lp__o21bai_0 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 VGND A1 a_320_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_39_51# Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 Y A2 a_406_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_39_51# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_39_51# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_406_473# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 Y a_39_51# a_320_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_320_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o21bai_1

not to scale



.subckt sky130_fd_sc_lp__o21bai_1 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 VPWR a_27_69# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_27_69# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND A1 a_310_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_27_69# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 Y a_27_69# a_310_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_310_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A2 a_424_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_424_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o21bai_2

not to scale


.subckt sky130_fd_sc_lp__o21bai_2 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 VPWR a_100_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_504_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_233_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A1 a_233_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y a_100_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_100_367# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND B1_N a_100_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A1 a_504_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_233_65# a_100_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y a_100_367# a_233_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Y A2 a_504_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND A2 a_233_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_504_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_233_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o21bai_4

not to scale


.subckt sky130_fd_sc_lp__o21bai_4 A1 A2 B1_N VGND VNB VPB VPWR Y
X0 VPWR A1 a_653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y a_27_49# a_218_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_653_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_218_49# a_27_49# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_653_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A2 a_653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR A1 a_653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_653_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_27_49# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y a_27_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_27_49# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR a_27_49# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_653_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y a_27_49# a_218_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VGND A1 a_218_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_218_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_218_49# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND A2 a_218_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_218_49# a_27_49# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_218_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 Y A2 a_653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_218_49# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Y a_27_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VGND A2 a_218_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VGND A1 a_218_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VPWR a_27_49# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends