NAME

o21ai from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first input of 2-input NAND.

FUNCTION

Y = !((A1 | A2) & B1)

VERILOG

"sky130_fd_sc_lp__o21ai"
/*
*/


`ifndef SKY130_FD_SC_LP__O21AI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O21AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o21ai (
    Y ,
    A1,
    A2,
    B1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;

    // Local signals
    wire or0_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    or   or0   (or0_out    , A2, A1         );
    nand nand0 (nand0_out_Y, B1, or0_out    );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O21AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o21ai_m

not to scale



.subckt sky130_fd_sc_lp__o21ai_m A1 A2 B1 VGND VNB VPB VPWR Y
X0 a_110_434# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_27_51# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A1 a_110_434# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND A2 a_27_51# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_27_51# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o21ai_0

not to scale



.subckt sky130_fd_sc_lp__o21ai_0 A1 A2 B1 VGND VNB VPB VPWR Y
X0 VGND A2 a_39_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_39_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_130_483# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_39_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A1 a_130_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o21ai_1

not to scale



.subckt sky130_fd_sc_lp__o21ai_1 A1 A2 B1 VGND VNB VPB VPWR Y
X0 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_112_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A1 a_112_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_29_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A2 a_29_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_29_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o21ai_2

not to scale


.subckt sky130_fd_sc_lp__o21ai_2 A1 A2 B1 VGND VNB VPB VPWR Y
X0 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_113_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_30_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_113_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A1 a_113_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A1 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A2 a_113_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_30_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y B1 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND A2 a_30_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_30_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o21ai_4

not to scale


.subckt sky130_fd_sc_lp__o21ai_4 A1 A2 B1 VGND VNB VPB VPWR Y
X0 a_115_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A1 a_32_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y B1 a_32_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_115_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B1 a_32_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_32_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_32_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A2 a_32_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y A2 a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR A1 a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR A1 a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_32_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_115_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_32_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND A2 a_32_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_32_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND A1 a_32_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_115_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Y A2 a_115_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_32_47# B1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends