NAME

o21a from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first input of 2-input AND.

FUNCTION

X = ((A1 | A2) & B1)

VERILOG

"sky130_fd_sc_lp__o21a"
/*
*/


`ifndef SKY130_FD_SC_LP__O21A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O21A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o21a (
    X ,
    A1,
    A2,
    B1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1         );
    and and0 (and0_out_X, or0_out, B1    );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O21A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o21a_m

not to scale



.subckt sky130_fd_sc_lp__o21a_m A1 A2 B1 VGND VNB VPB VPWR X
X0 VPWR B1 a_80_23# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_300_74# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND A1 a_300_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 X a_80_23# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_80_23# A2 a_340_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 X a_80_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_340_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_80_23# B1 a_300_74# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o21a_0

not to scale



.subckt sky130_fd_sc_lp__o21a_0 A1 A2 B1 VGND VNB VPB VPWR X
X0 X a_80_23# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_80_23# B1 a_300_58# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_80_23# A2 a_337_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 X a_80_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VPWR B1 a_80_23# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_300_58# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND A1 a_300_58# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_337_483# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o21a_1

not to scale



.subckt sky130_fd_sc_lp__o21a_1 A1 A2 B1 VGND VNB VPB VPWR X
X0 a_300_51# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_80_21# A2 a_420_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_420_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND A1 a_300_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_80_21# B1 a_300_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o21a_2

not to scale


.subckt sky130_fd_sc_lp__o21a_2 A1 A2 B1 VGND VNB VPB VPWR X
X0 a_86_21# B1 a_392_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_478_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR B1 a_86_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_86_21# A2 a_478_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_86_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_392_51# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR a_86_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_86_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 X a_86_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VGND A1 a_392_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o21a_4

not to scale


.subckt sky130_fd_sc_lp__o21a_4 A1 A2 B1 VGND VNB VPB VPWR X
X0 VGND a_90_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR B1 a_90_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR a_90_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A1 a_792_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_792_367# A2 a_90_23# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A1 a_485_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_90_23# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR a_90_23# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_792_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_90_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 X a_90_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_90_23# A2 a_792_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_90_23# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_485_65# B1 a_90_23# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_90_23# B1 a_485_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_485_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 X a_90_23# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VGND A2 a_485_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_485_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 X a_90_23# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends