NAME

o211ai from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first input of 3-input NAND.

FUNCTION

Y = !((A1 | A2) & B1 & C1)

VERILOG

"sky130_fd_sc_lp__o211ai"
/*
*/


`ifndef SKY130_FD_SC_LP__O211AI_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O211AI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o211ai (
    Y ,
    A1,
    A2,
    B1,
    C1
);

    // Module ports
    output Y ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;

    // Local signals
    wire or0_out    ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    or   or0   (or0_out    , A2, A1         );
    nand nand0 (nand0_out_Y, C1, or0_out, B1);
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O211AI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o211ai_m

not to scale



.subckt sky130_fd_sc_lp__o211ai_m A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_148_535# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR A1 a_148_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_292_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND A2 a_29_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_29_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_29_47# B1 a_292_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o211ai_0

not to scale



.subckt sky130_fd_sc_lp__o211ai_0 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 a_36_47# B1 a_359_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_131_465# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_359_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_36_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND A2 a_36_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A1 a_131_465# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o211ai_1

not to scale



.subckt sky130_fd_sc_lp__o211ai_1 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_27_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_110_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A1 a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_326_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND A2 a_27_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_47# B1 a_326_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o211ai_2

not to scale


.subckt sky130_fd_sc_lp__o211ai_2 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 VPWR A1 a_487_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A2 a_286_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_286_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_31_65# B1 a_286_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_286_65# B1 a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_286_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_487_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y A2 a_487_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND A1 a_286_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_487_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_31_65# C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y C1 a_31_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o211ai_4

not to scale


.subckt sky130_fd_sc_lp__o211ai_4 A1 A2 B1 C1 VGND VNB VPB VPWR Y
X0 Y C1 a_836_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y A2 a_140_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A1 a_140_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_836_47# B1 a_57_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND A1 a_57_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_140_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y A2 a_140_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_836_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_57_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND A1 a_57_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_57_47# B1 a_836_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_836_47# C1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y C1 a_836_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_140_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_140_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_57_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VGND A2 a_57_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_57_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_836_47# B1 a_57_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VGND A2 a_57_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_57_47# B1 a_836_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VPWR C1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_140_367# A2 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VPWR A1 a_140_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 Y C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 VPWR B1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 Y B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_57_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends