NAME

o211a from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first input of 3-input AND.

FUNCTION

X = ((A1 | A2) & B1 & C1)

VERILOG

"sky130_fd_sc_lp__o211a"
/*
*/


`ifndef SKY130_FD_SC_LP__O211A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O211A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o211a (
    X ,
    A1,
    A2,
    B1,
    C1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1         );
    and and0 (and0_out_X, or0_out, B1, C1);
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O211A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o211a_m

not to scale



.subckt sky130_fd_sc_lp__o211a_m A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 a_217_49# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND A2 a_217_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR C1 a_80_60# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_217_49# B1 a_488_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_80_60# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_80_60# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VPWR A1 a_300_371# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 X a_80_60# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_300_371# A2 a_80_60# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_488_49# C1 a_80_60# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o211a_0

not to scale



.subckt sky130_fd_sc_lp__o211a_0 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 VGND A2 a_257_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_257_47# B1 a_520_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR A1 a_340_485# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_80_21# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_520_47# C1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR C1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_257_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_340_485# A2 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o211a_1

not to scale



.subckt sky130_fd_sc_lp__o211a_1 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 VGND A2 a_266_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR C1 a_80_237# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_266_49# B1 a_581_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_266_49# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_80_237# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A1 a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_365_367# A2 a_80_237# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 X a_80_237# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 X a_80_237# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_581_49# C1 a_80_237# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o211a_2

not to scale


.subckt sky130_fd_sc_lp__o211a_2 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 a_110_47# B1 a_182_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_27_47# C1 a_110_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_27_47# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_182_47# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR B1 a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_27_47# A2 a_372_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_372_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND A2 a_182_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o211a_4

not to scale


.subckt sky130_fd_sc_lp__o211a_4 A1 A2 B1 C1 VGND VNB VPB VPWR X
X0 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR a_80_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_986_367# A2 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_80_21# A2 a_986_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND a_80_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A1 a_986_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_475_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A1 a_475_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_475_49# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_80_21# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_475_49# B1 a_574_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_986_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_574_49# C1 a_80_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR a_80_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_80_21# C1 a_574_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_80_21# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_574_49# B1 a_475_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VPWR C1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 VGND a_80_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VGND A2 a_475_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends