NAME

o2111a from sky130_fd_sc_lp

DESCRIPTION

2-input OR into first input of 4-input AND.

FUNCTION

X = ((A1 | A2) & B1 & C1 & D1)

VERILOG

"sky130_fd_sc_lp__o2111a"
/*
*/


`ifndef SKY130_FD_SC_LP__O2111A_FUNCTIONAL_V
`define SKY130_FD_SC_LP__O2111A_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__o2111a (
    X ,
    A1,
    A2,
    B1,
    C1,
    D1
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  B1;
    input  C1;
    input  D1;

    // Local signals
    wire or0_out   ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    or  or0  (or0_out   , A2, A1             );
    and and0 (and0_out_X, B1, C1, or0_out, D1);
    buf buf0 (X         , and0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__O2111A_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__o2111a_m

not to scale



.subckt sky130_fd_sc_lp__o2111a_m A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 VPWR D1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_420_47# B1 a_492_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_492_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND A1 a_492_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_348_47# C1 a_420_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_80_21# A2 a_564_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_564_535# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_80_21# D1 a_348_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_80_21# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o2111a_0

not to scale



.subckt sky130_fd_sc_lp__o2111a_0 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_80_21# A2 a_585_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_585_481# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_315_47# C1 a_387_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR D1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_80_21# D1 a_315_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND A1 a_459_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_80_21# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_387_47# B1 a_459_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_459_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__o2111a_1

not to scale



.subckt sky130_fd_sc_lp__o2111a_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_517_49# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_337_49# C1 a_409_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_409_49# B1 a_517_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A1 a_517_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_80_21# A2 a_685_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_685_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_80_21# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR D1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_80_21# D1 a_337_49# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__o2111a_2

not to scale


.subckt sky130_fd_sc_lp__o2111a_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_566_51# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A1 a_566_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_80_21# D1 a_386_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND a_80_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR a_80_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_674_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_80_21# A2 a_674_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR B1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_386_51# C1 a_458_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_80_21# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_458_51# B1 a_566_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR D1 a_80_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__o2111a_4

not to scale


.subckt sky130_fd_sc_lp__o2111a_4 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
X0 a_289_65# B1 a_389_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_32_367# D1 a_32_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_389_65# B1 a_289_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 X a_32_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR B1 a_32_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_289_65# C1 a_32_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR a_32_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_741_367# A2 a_32_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A1 a_741_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X a_32_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND a_32_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_32_367# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_32_65# C1 a_289_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR a_32_367# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 X a_32_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_32_367# A2 a_741_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_389_65# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VPWR C1 a_32_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 X a_32_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND A2 a_389_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_32_367# D1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_389_65# A1 VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_32_65# D1 a_32_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VGND A1 a_389_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_32_367# C1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VPWR D1 a_32_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 a_741_367# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND a_32_367# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends