NAME

nor4bb from sky130_fd_sc_lp

DESCRIPTION

4-input NOR, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nor4bb"
/*
*/


`ifndef SKY130_FD_SC_LP__NOR4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NOR4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nor4bb (
    Y  ,
    A  ,
    B  ,
    C_N,
    D_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C_N;
    input  D_N;

    // Local signals
    wire nor0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A, B              );
    and and0 (and0_out_Y, nor0_out, C_N, D_N);
    buf buf0 (Y         , and0_out_Y        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NOR4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nor4bb_m

not to scale



.subckt sky130_fd_sc_lp__nor4bb_m A B C_N D_N VGND VNB VPB VPWR Y
X0 a_27_507# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND C_N a_284_99# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_454_397# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_27_507# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 Y a_284_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 Y a_27_507# a_310_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_310_397# a_284_99# a_382_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_27_507# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_382_397# B a_454_397# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VPWR C_N a_284_99# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor4bb_1

not to scale



.subckt sky130_fd_sc_lp__nor4bb_1 A B C_N D_N VGND VNB VPB VPWR Y
X0 a_513_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND a_27_508# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_405_367# B a_513_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_333_367# a_375_269# a_405_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_27_508# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND C_N a_375_269# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR C_N a_375_269# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 Y a_27_508# a_333_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y a_375_269# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_27_508# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__nor4bb_2

not to scale


.subckt sky130_fd_sc_lp__nor4bb_2 A B C_N D_N VGND VNB VPB VPWR Y
X0 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND D_N a_286_512# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 Y a_286_512# a_463_355# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND a_45_164# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y a_45_164# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_718_355# B a_919_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_45_164# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_45_164# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_286_512# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y a_286_512# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_919_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_718_355# a_45_164# a_463_355# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_919_367# B a_718_355# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 VPWR D_N a_286_512# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_463_355# a_45_164# a_718_355# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR A a_919_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_463_355# a_286_512# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nor4bb_4

not to scale


.subckt sky130_fd_sc_lp__nor4bb_4 A B C_N D_N VGND VNB VPB VPWR Y
X0 VPWR C_N a_206_51# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_1139_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A a_1139_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND a_37_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y a_206_51# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_37_51# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_206_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y a_37_51# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_347_349# a_206_51# a_774_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_347_349# a_37_51# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_774_349# B a_1139_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_1139_367# B a_774_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_347_349# a_206_51# a_774_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_774_349# a_206_51# a_347_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_774_349# a_206_51# a_347_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VGND C_N a_206_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_1139_367# B a_774_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VGND a_37_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Y a_37_51# a_347_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 Y a_206_51# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_774_349# B a_1139_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VPWR A a_1139_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 a_1139_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 Y a_37_51# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 VGND a_206_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 a_37_51# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_347_349# a_37_51# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X35 Y a_37_51# a_347_349# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends