NAME

nor4b from sky130_fd_sc_lp

DESCRIPTION

4-input NOR, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nor4b"
/*
*/


`ifndef SKY130_FD_SC_LP__NOR4B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NOR4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nor4b (
    Y  ,
    A  ,
    B  ,
    C  ,
    D_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C  ;
    input  D_N;

    // Local signals
    wire not0_out  ;
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out  , D_N              );
    nor nor0 (nor0_out_Y, A, B, C, not0_out);
    buf buf0 (Y         , nor0_out_Y       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NOR4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nor4b_m

not to scale



.subckt sky130_fd_sc_lp__nor4b_m A B C D_N VGND VNB VPB VPWR Y
X0 Y a_33_68# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR A a_312_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_312_496# B a_384_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_384_496# C a_456_496# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_33_68# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_33_68# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_456_496# a_33_68# Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor4b_1

not to scale



.subckt sky130_fd_sc_lp__nor4b_1 A B C D_N VGND VNB VPB VPWR Y
X0 a_80_131# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_451_367# a_80_131# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y a_80_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_343_367# C a_451_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR A a_271_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_271_367# B a_343_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_80_131# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__nor4b_2

not to scale


.subckt sky130_fd_sc_lp__nor4b_2 A B C D_N VGND VNB VPB VPWR Y
X0 Y a_27_535# a_312_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_27_535# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_27_535# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_672_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_312_367# C a_229_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_672_367# B a_229_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR A a_672_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y a_27_535# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_229_367# B a_672_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND a_27_535# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_312_367# a_27_535# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_229_367# C a_312_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nor4b_4

not to scale


.subckt sky130_fd_sc_lp__nor4b_4 A B C D_N VGND VNB VPB VPWR Y
X0 a_1009_367# B a_644_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A a_1009_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND a_27_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y a_27_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y a_27_367# a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_217_367# C a_644_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_217_367# a_27_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR A a_1009_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y a_27_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_1009_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_644_367# B a_1009_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_27_367# D_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_644_367# C a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_217_367# C a_644_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_644_367# C a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_27_367# D_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_644_367# B a_1009_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_1009_367# B a_644_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 Y a_27_367# a_217_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_217_367# a_27_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 VGND a_27_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 a_1009_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends