NAME

nor4 from sky130_fd_sc_lp

DESCRIPTION

4-input NOR.

FUNCTION

Y = !(A | B | C | D)

VERILOG

"sky130_fd_sc_lp__nor4"
/*
*/


`ifndef SKY130_FD_SC_LP__NOR4_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NOR4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nor4 (
    Y,
    A,
    B,
    C,
    D
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out_Y, A, B, C, D     );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NOR4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nor4_m

not to scale



.subckt sky130_fd_sc_lp__nor4_m A B C D VGND VNB VPB VPWR Y
X0 a_252_483# C a_330_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_174_483# B a_252_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_330_483# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR A a_174_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor4_0

not to scale



.subckt sky130_fd_sc_lp__nor4_0 A B C D VGND VNB VPB VPWR Y
X0 a_252_483# C a_330_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_174_483# B a_252_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_330_483# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR A a_174_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor4_1

not to scale



.subckt sky130_fd_sc_lp__nor4_1 A B C D VGND VNB VPB VPWR Y
X0 a_110_367# B a_206_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_304_367# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_206_367# C a_304_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nor4_2

not to scale


.subckt sky130_fd_sc_lp__nor4_2 A B C D VGND VNB VPB VPWR Y
X0 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_157_367# B a_74_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_74_367# B a_157_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_157_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Y D a_553_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_553_367# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_553_367# C a_74_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VPWR A a_157_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_74_367# C a_553_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nor4_4

not to scale


.subckt sky130_fd_sc_lp__nor4_4 A B C D VGND VNB VPB VPWR Y
X0 a_72_367# B a_499_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A a_72_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_72_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y D a_864_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_499_367# C a_864_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_864_367# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_864_367# C a_499_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_499_367# B a_72_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR A a_72_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y D a_864_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_864_367# D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_499_367# B a_72_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_72_367# B a_499_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VGND D Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_864_367# C a_499_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_72_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 Y D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_499_367# C a_864_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends