NAME

nor3b from sky130_fd_sc_lp

DESCRIPTION

3-input NOR, first input inverted.

FUNCTION

Y = (!(A | B)) & !C)

VERILOG

"sky130_fd_sc_lp__nor3b"
/*
*/


`ifndef SKY130_FD_SC_LP__NOR3B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NOR3B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nor3b (
    Y  ,
    A  ,
    B  ,
    C_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B  ;
    input  C_N;

    // Local signals
    wire nor0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A, B           );
    and and0 (and0_out_Y, C_N, nor0_out  );
    buf buf0 (Y         , and0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NOR3B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nor3b_m

not to scale



.subckt sky130_fd_sc_lp__nor3b_m A B C_N VGND VNB VPB VPWR Y
X0 a_27_439# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_290_439# a_27_439# Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_27_439# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A a_218_439# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_27_439# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_218_439# B a_290_439# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor3b_1

not to scale



.subckt sky130_fd_sc_lp__nor3b_1 A B C_N VGND VNB VPB VPWR Y
X0 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_82_131# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_347_367# a_82_131# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_82_131# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR A a_275_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_275_367# B a_347_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND a_82_131# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nor3b_2

not to scale


.subckt sky130_fd_sc_lp__nor3b_2 A B C_N VGND VNB VPB VPWR Y
X0 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y a_27_131# a_217_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_217_365# a_27_131# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND a_27_131# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_472_365# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A a_472_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_472_365# B a_217_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_217_365# B a_472_365# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_27_131# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_27_131# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 Y a_27_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nor3b_4

not to scale


.subckt sky130_fd_sc_lp__nor3b_4 A B C_N VGND VNB VPB VPWR Y
X0 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND a_38_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_38_367# C_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y a_38_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_211_367# B a_576_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_38_367# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_38_367# C_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_576_367# a_38_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y a_38_367# a_576_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_211_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR A a_211_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_576_367# B a_211_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 Y a_38_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_576_367# B a_211_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 Y a_38_367# a_576_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_211_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 VPWR A a_211_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_211_367# B a_576_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_576_367# a_38_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends