NAME

nor3 from sky130_fd_sc_lp

DESCRIPTION

3-input NOR.

FUNCTION

Y = !(A | B | C | !D)

VERILOG

"sky130_fd_sc_lp__nor3"
/*
*/


`ifndef SKY130_FD_SC_LP__NOR3_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NOR3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nor3 (
    Y,
    A,
    B,
    C
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire nor0_out_Y;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out_Y, C, A, B        );
    buf buf0 (Y         , nor0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NOR3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nor3_m

not to scale



.subckt sky130_fd_sc_lp__nor3_m A B C VGND VNB VPB VPWR Y
X0 a_123_483# B a_201_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_201_483# C Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR A a_123_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor3_0

not to scale



.subckt sky130_fd_sc_lp__nor3_0 A B C VGND VNB VPB VPWR Y
X0 a_123_483# B a_201_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_201_483# C Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VPWR A a_123_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor3_1

not to scale



.subckt sky130_fd_sc_lp__nor3_1 A B C VGND VNB VPB VPWR Y
X0 a_202_367# C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VPWR A a_110_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_110_367# B a_202_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nor3_2

not to scale


.subckt sky130_fd_sc_lp__nor3_2 A B C VGND VNB VPB VPWR Y
X0 a_36_367# B a_360_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y C a_360_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_360_367# B a_36_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_36_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR A a_36_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_360_367# C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nor3_4

not to scale


.subckt sky130_fd_sc_lp__nor3_4 A B C VGND VNB VPB VPWR Y
X0 a_456_367# C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_456_367# B a_29_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y C a_456_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR A a_29_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y C a_456_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_29_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_29_367# B a_456_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_29_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VGND B Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 Y B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 Y C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VGND C Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_29_367# B a_456_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_456_367# C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 VPWR A a_29_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_456_367# B a_29_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends