NAME

nor2b from sky130_fd_sc_lp

DESCRIPTION

2-input NOR, first input inverted.

FUNCTION

Y = !(A | B | C | !D)

VERILOG

"sky130_fd_sc_lp__nor2b"
/*
*/


`ifndef SKY130_FD_SC_LP__NOR2B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NOR2B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nor2b (
    Y  ,
    A  ,
    B_N
);

    // Module ports
    output Y  ;
    input  A  ;
    input  B_N;

    // Local signals
    wire not0_out  ;
    wire and0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A              );
    and and0 (and0_out_Y, not0_out, B_N  );
    buf buf0 (Y         , and0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NOR2B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nor2b_m

not to scale



.subckt sky130_fd_sc_lp__nor2b_m A B_N VGND VNB VPB VPWR Y
X0 VPWR A a_328_492# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 Y a_47_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_328_492# a_47_70# Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_47_70# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_47_70# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nor2b_1

not to scale



.subckt sky130_fd_sc_lp__nor2b_1 A B_N VGND VNB VPB VPWR Y
X0 VPWR A a_283_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_283_367# a_79_47# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_79_47# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_79_47# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y a_79_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nor2b_2

not to scale


.subckt sky130_fd_sc_lp__nor2b_2 A B_N VGND VNB VPB VPWR Y
X0 VGND a_40_131# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_283_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_283_367# a_40_131# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A a_283_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y a_40_131# a_283_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_40_131# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_40_131# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 Y a_40_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nor2b_4

not to scale


.subckt sky130_fd_sc_lp__nor2b_4 A B_N VGND VNB VPB VPWR Y
X0 a_245_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y a_60_47# a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_60_47# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y a_60_47# a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR A a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_60_47# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR A a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_245_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_60_47# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_245_367# a_60_47# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y a_60_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_245_367# a_60_47# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 Y a_60_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND a_60_47# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends