NAME

nand4bb from sky130_fd_sc_lp

DESCRIPTION

4-input NAND, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nand4bb"
/*
*/


`ifndef SKY130_FD_SC_LP__NAND4BB_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nand4bb (
    Y  ,
    A_N,
    B_N,
    C  ,
    D
);

    // Module ports
    output Y  ;
    input  A_N;
    input  B_N;
    input  C  ;
    input  D  ;

    // Local signals
    wire nand0_out;
    wire or0_out_Y;

    //   Name   Output     Other arguments
    nand nand0 (nand0_out, D, C               );
    or   or0   (or0_out_Y, B_N, A_N, nand0_out);
    buf  buf0  (Y        , or0_out_Y          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NAND4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nand4bb_m

not to scale



.subckt sky130_fd_sc_lp__nand4bb_m A_N B_N C D VGND VNB VPB VPWR Y
X0 VPWR a_27_151# Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_427_151# a_469_125# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_27_151# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_319_151# a_27_151# a_427_151# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_27_151# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y a_469_125# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND A_N a_469_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A_N a_469_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VGND D a_247_151# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_247_151# C a_319_151# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand4bb_1

not to scale



.subckt sky130_fd_sc_lp__nand4bb_1 A_N B_N C D VGND VNB VPB VPWR Y
X0 VGND D a_294_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y a_552_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A_N a_552_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_49_367# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_294_47# C a_366_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A_N a_552_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_366_47# a_49_367# a_474_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_474_47# a_552_21# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR a_49_367# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_49_367# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__nand4bb_2

not to scale


.subckt sky130_fd_sc_lp__nand4bb_2 A_N B_N C D VGND VNB VPB VPWR Y
X0 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y a_223_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND A_N a_223_49# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 Y a_223_49# a_357_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_614_47# a_27_373# a_357_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A_N a_223_49# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VPWR a_27_373# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_357_47# a_223_49# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_27_373# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_357_47# a_27_373# a_614_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_27_373# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 VPWR a_223_49# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y a_27_373# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_821_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND D a_821_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_821_47# C a_614_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_614_47# C a_821_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nand4bb_4

not to scale


.subckt sky130_fd_sc_lp__nand4bb_4 A_N B_N C D VGND VNB VPB VPWR Y
X0 Y a_44_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_842_67# C a_1251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_324_45# a_44_69# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_324_45# a_217_69# a_842_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_1251_47# C a_842_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_324_45# a_44_69# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_217_69# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_44_69# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y a_44_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_1251_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VGND D a_1251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_44_69# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_1251_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Y a_217_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VPWR a_217_69# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VPWR a_44_69# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 Y a_217_69# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 Y a_44_69# a_324_45# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_842_67# a_217_69# a_324_45# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VGND B_N a_217_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VGND D a_1251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 Y a_44_69# a_324_45# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_842_67# C a_1251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 VPWR B_N a_217_69# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_44_69# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 a_842_67# a_217_69# a_324_45# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_324_45# a_217_69# a_842_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X35 a_1251_47# C a_842_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends