NAME

nand4b from sky130_fd_sc_lp

DESCRIPTION

4-input NAND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nand4b"
/*
*/


`ifndef SKY130_FD_SC_LP__NAND4B_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nand4b (
    Y  ,
    A_N,
    B  ,
    C  ,
    D
);

    // Module ports
    output Y  ;
    input  A_N;
    input  B  ;
    input  C  ;
    input  D  ;

    // Local signals
    wire not0_out   ;
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    not  not0  (not0_out   , A_N              );
    nand nand0 (nand0_out_Y, D, C, B, not0_out);
    buf  buf0  (Y          , nand0_out_Y      );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NAND4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nand4b_m

not to scale



.subckt sky130_fd_sc_lp__nand4b_m A_N B C D VGND VNB VPB VPWR Y
X0 Y a_35_392# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_35_392# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_271_52# C a_343_52# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_343_52# B a_451_52# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND D a_271_52# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_35_392# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_451_52# a_35_392# Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand4b_1

not to scale



.subckt sky130_fd_sc_lp__nand4b_1 A_N B C D VGND VNB VPB VPWR Y
X0 a_71_131# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_442_47# a_71_131# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_71_131# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND D a_262_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y a_71_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_262_47# C a_334_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_334_47# B a_442_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nand4b_2

not to scale


.subckt sky130_fd_sc_lp__nand4b_2 A_N B C D VGND VNB VPB VPWR Y
X0 a_27_51# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_486_65# B a_217_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_697_69# C a_486_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_486_65# C a_697_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_217_65# B a_486_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_217_65# a_27_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_27_51# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_697_69# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND D a_697_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y a_27_51# a_217_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 Y a_27_51# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR a_27_51# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nand4b_4

not to scale


.subckt sky130_fd_sc_lp__nand4b_4 A_N B C D VGND VNB VPB VPWR Y
X0 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y a_27_51# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_27_51# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_644_51# C a_1025_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_1025_65# C a_644_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_51# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y a_27_51# a_217_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_644_51# C a_1025_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR a_27_51# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_1025_65# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_217_51# a_27_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_644_51# B a_217_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Y a_27_51# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VGND D a_1025_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 Y a_27_51# a_217_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_1025_65# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_217_51# B a_644_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND D a_1025_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_644_51# B a_217_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 a_217_51# B a_644_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_1025_65# C a_644_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 VPWR a_27_51# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 a_217_51# a_27_51# Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends