NAME

nand4 from sky130_fd_sc_lp

DESCRIPTION

4-input NAND.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nand4"
/*
*/


`ifndef SKY130_FD_SC_LP__NAND4_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND4_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nand4 (
    Y,
    A,
    B,
    C,
    D
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;
    input  D;

    // Local signals
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out_Y, D, C, B, A     );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NAND4_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nand4_m

not to scale



.subckt sky130_fd_sc_lp__nand4_m A B C D VGND VNB VPB VPWR Y
X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_159_47# C a_237_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_237_47# B a_351_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VGND D a_159_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_351_47# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand4_0

not to scale



.subckt sky130_fd_sc_lp__nand4_0 A B C D VGND VNB VPB VPWR Y
X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_159_47# C a_237_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_237_47# B a_351_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VGND D a_159_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_351_47# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand4_1

not to scale



.subckt sky130_fd_sc_lp__nand4_1 A B C D VGND VNB VPB VPWR Y
X0 a_325_47# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_211_47# B a_325_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND D a_133_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_133_47# C a_211_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nand4_2

not to scale


.subckt sky130_fd_sc_lp__nand4_2 A B C D VGND VNB VPB VPWR Y
X0 Y A a_523_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND D a_69_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_69_47# C a_330_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_523_67# B a_330_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_330_47# B a_523_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_523_67# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_69_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_330_47# C a_69_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nand4_4

not to scale


.subckt sky130_fd_sc_lp__nand4_4 A B C D VGND VNB VPB VPWR Y
X0 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_454_65# B a_843_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR D Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_843_67# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y A a_843_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_454_65# B a_843_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND D a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_27_65# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_27_65# C a_454_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_454_65# C a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_843_67# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_843_67# B a_454_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 Y A a_843_67# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VGND D a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_27_65# C a_454_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_27_65# D VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_454_65# C a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_843_67# B a_454_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends