NAME

nand3 from sky130_fd_sc_lp

DESCRIPTION

3-input NAND.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nand3"
/*
*/


`ifndef SKY130_FD_SC_LP__NAND3_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND3_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nand3 (
    Y,
    A,
    B,
    C
);

    // Module ports
    output Y;
    input  A;
    input  B;
    input  C;

    // Local signals
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out_Y, B, A, C        );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NAND3_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nand3_m

not to scale



.subckt sky130_fd_sc_lp__nand3_m A B C VGND VNB VPB VPWR Y
X0 a_117_47# B a_195_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND C a_117_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_195_47# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand3_0

not to scale



.subckt sky130_fd_sc_lp__nand3_0 A B C VGND VNB VPB VPWR Y
X0 a_117_47# B a_195_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND C a_117_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_195_47# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand3_1

not to scale



.subckt sky130_fd_sc_lp__nand3_1 A B C VGND VNB VPB VPWR Y
X0 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_141_76# B a_219_76# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_219_76# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND C a_141_76# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nand3_2

not to scale


.subckt sky130_fd_sc_lp__nand3_2 A B C VGND VNB VPB VPWR Y
X0 Y A a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND C a_298_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_43_65# B a_298_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_298_65# B a_43_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_43_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_298_65# C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nand3_4

not to scale


.subckt sky130_fd_sc_lp__nand3_4 A B C VGND VNB VPB VPWR Y
X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_33_57# B a_460_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND C a_460_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_33_57# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_460_57# B a_33_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR C Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 Y C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y A a_33_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_460_57# B a_33_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_460_57# C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VGND C a_460_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_33_57# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_460_57# C VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Y A a_33_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_33_57# B a_460_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends