NAME

nand2 from sky130_fd_sc_lp

DESCRIPTION

2-input NAND.

FUNCTION

VERILOG

"sky130_fd_sc_lp__nand2"
/*
*/


`ifndef SKY130_FD_SC_LP__NAND2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__nand2 (
    Y,
    A,
    B
);

    // Module ports
    output Y;
    input  A;
    input  B;

    // Local signals
    wire nand0_out_Y;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out_Y, B, A           );
    buf  buf0  (Y          , nand0_out_Y    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__NAND2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__nand2_m

not to scale



.subckt sky130_fd_sc_lp__nand2_m A B VGND VNB VPB VPWR Y
X0 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_124_47# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND B a_124_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand2_0

not to scale



.subckt sky130_fd_sc_lp__nand2_0 A B VGND VNB VPB VPWR Y
X0 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_124_47# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND B a_124_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__nand2_1

not to scale



.subckt sky130_fd_sc_lp__nand2_1 A B VGND VNB VPB VPWR Y
X0 a_112_69# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VGND B a_112_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nand2_2

not to scale


.subckt sky130_fd_sc_lp__nand2_2 A B VGND VNB VPB VPWR Y
X0 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND B a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_27_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Y A a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__nand2_4

not to scale


.subckt sky130_fd_sc_lp__nand2_4 A B VGND VNB VPB VPWR Y
X0 a_63_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND B a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_63_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_63_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_63_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND B a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 Y A a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A a_63_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__nand2_8

not to scale


.subckt sky130_fd_sc_lp__nand2_8 A B VGND VNB VPB VPWR Y
X0 Y A a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VGND B a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_27_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_27_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_27_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND B a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_27_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND B a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_27_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 Y A a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VPWR B Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_27_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_27_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VGND B a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 Y A a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_27_65# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 Y A a_27_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 Y B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends