NAME

mux2i from sky130_fd_sc_lp

DESCRIPTION

2-input multiplexer, output inverted.

FUNCTION

VERILOG

"sky130_fd_sc_lp__mux2i"
/*
*/


`ifndef SKY130_FD_SC_LP__MUX2I_FUNCTIONAL_V
`define SKY130_FD_SC_LP__MUX2I_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1_n/sky130_fd_sc_lp__udp_mux_2to1_n.v"

`celldefine
module sky130_fd_sc_lp__mux2i (
    Y ,
    A0,
    A1,
    S
);

    // Module ports
    output Y ;
    input  A0;
    input  A1;
    input  S ;

    // Local signals
    wire mux_2to1_n0_out_Y;

    //                              Name         Output             Other arguments
    sky130_fd_sc_lp__udp_mux_2to1_N mux_2to1_n0 (mux_2to1_n0_out_Y, A0, A1, S        );
    buf                             buf0        (Y                , mux_2to1_n0_out_Y);

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__MUX2I_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__mux2i_m

not to scale



.subckt sky130_fd_sc_lp__mux2i_m A0 A1 S VGND VNB VPB VPWR Y
X0 a_55_125# S VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_256_497# A1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_55_125# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND a_55_125# a_250_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_452_497# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 Y A1 a_416_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_416_125# S VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_55_125# a_256_497# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_250_125# A0 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 Y A0 a_452_497# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__mux2i_0

not to scale



.subckt sky130_fd_sc_lp__mux2i_0 A0 A1 S VGND VNB VPB VPWR Y
X0 a_465_491# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_47_48# S VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_47_48# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 Y A1 a_436_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_47_48# a_244_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_436_48# S VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_244_48# A0 Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_47_48# a_292_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_292_491# A1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 Y A0 a_465_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__mux2i_1

not to scale



.subckt sky130_fd_sc_lp__mux2i_1 A0 A1 S VGND VNB VPB VPWR Y
X0 a_52_367# A0 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_304_237# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_304_237# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_29_73# a_304_237# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND S a_212_73# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_29_73# A0 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A1 a_236_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR S a_52_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Y A1 a_212_73# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_236_367# a_304_237# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__mux2i_2

not to scale


.subckt sky130_fd_sc_lp__mux2i_2 A0 A1 S VGND VNB VPB VPWR Y
X0 a_251_47# a_44_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_455_367# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_251_367# a_44_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR a_44_367# a_251_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y A0 a_455_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_251_367# A1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_423_47# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_44_367# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND S a_423_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_455_367# A0 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 Y A1 a_251_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A0 a_251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_251_47# A0 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_44_367# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y A1 a_423_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND a_44_367# a_251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR S a_455_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_423_47# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__mux2i_4

not to scale


.subckt sky130_fd_sc_lp__mux2i_4 A0 A1 S VGND VNB VPB VPWR Y
X0 a_470_367# A1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND a_1418_21# a_110_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Y A1 a_470_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR a_1418_21# a_470_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND S a_470_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_470_69# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_126_367# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_110_69# A0 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y A1 a_470_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_110_69# a_1418_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Y A0 a_110_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND S a_1418_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_470_367# a_1418_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_110_69# A0 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y A0 a_126_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y A1 a_470_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_470_367# a_1418_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR a_1418_21# a_470_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_470_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VGND a_1418_21# a_110_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 Y A0 a_126_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_126_367# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_110_69# a_1418_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_126_367# A0 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VPWR S a_126_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_470_69# A1 Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 Y A1 a_470_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 Y A0 a_110_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_470_367# A1 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 VPWR S a_126_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_126_367# A0 Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 VGND S a_470_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 VPWR S a_1418_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 a_470_69# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends