NAME

mux2 from sky130_fd_sc_lp

DESCRIPTION

2-input multiplexer.

FUNCTION

VERILOG

"sky130_fd_sc_lp__mux2"
/*
*/


`ifndef SKY130_FD_SC_LP__MUX2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__MUX2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_lp__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_lp__mux2 (
    X ,
    A0,
    A1,
    S
);

    // Module ports
    output X ;
    input  A0;
    input  A1;
    input  S ;

    // Local signals
    wire mux_2to10_out_X;

    //                            Name       Output           Other arguments
    sky130_fd_sc_lp__udp_mux_2to1 mux_2to10 (mux_2to10_out_X, A0, A1, S      );
    buf                           buf0      (X              , mux_2to10_out_X);

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__MUX2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__mux2_m

not to scale



.subckt sky130_fd_sc_lp__mux2_m A0 A1 S VGND VNB VPB VPWR X
X0 X a_123_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_441_125# a_483_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR S a_329_501# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 X a_123_269# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND S a_483_99# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_261_125# A1 a_123_269# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_487_501# a_483_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_123_269# A1 a_487_501# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_329_501# A0 a_123_269# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND S a_261_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_123_269# A0 a_441_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR S a_483_99# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__mux2_0

not to scale



.subckt sky130_fd_sc_lp__mux2_0 A0 A1 S VGND VNB VPB VPWR X
X0 a_89_200# A0 a_467_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_89_200# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 X a_89_200# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VPWR S a_509_99# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VGND S a_257_94# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_89_200# A1 a_423_515# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_423_515# a_509_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_257_94# A1 a_89_200# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_467_125# a_509_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR S a_227_491# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_227_491# A0 a_89_200# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 VGND S a_509_99# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__mux2_1

not to scale



.subckt sky130_fd_sc_lp__mux2_1 A0 A1 S VGND VNB VPB VPWR X
X0 VGND S a_266_132# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_518_434# a_488_106# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_105_22# A0 a_446_132# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_105_22# A1 a_518_434# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_266_132# A1 a_105_22# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 X a_105_22# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR S a_288_434# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND S a_488_106# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_446_132# a_488_106# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR S a_488_106# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 X a_105_22# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_288_434# A0 a_105_22# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__mux2_2

not to scale


.subckt sky130_fd_sc_lp__mux2_2 A0 A1 S VGND VNB VPB VPWR X
X0 VGND S a_284_279# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_319_48# A0 a_86_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR S a_284_279# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_508_449# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_86_21# A0 a_508_449# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_350_449# A1 a_86_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_86_21# A1 a_499_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_86_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_86_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND a_284_279# a_319_48# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR a_284_279# a_350_449# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 X a_86_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 X a_86_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_499_48# S VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__mux2_4

not to scale


.subckt sky130_fd_sc_lp__mux2_4 A0 A1 S VGND VNB VPB VPWR X
X0 X a_359_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_287_47# A0 a_359_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 X a_359_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VPWR a_359_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 X a_359_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_359_47# A1 a_508_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_359_47# A1 a_210_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_317_367# A0 a_359_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_359_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 X a_359_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR a_359_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_41_367# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_41_367# a_287_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR a_41_367# a_210_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_508_47# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_41_367# S VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND a_359_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_317_367# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__mux2_8

not to scale


.subckt sky130_fd_sc_lp__mux2_8 A0 A1 S VGND VNB VPB VPWR X
X0 VGND a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 X a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND S a_1179_311# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_1243_47# a_1179_311# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X5 X a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR S a_1179_311# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_839_47# S VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X8 a_839_47# A1 a_84_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR S a_843_419# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X10 a_1243_419# A1 a_84_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X11 a_1243_47# A0 a_84_21# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_84_21# A0 a_1243_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 X a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VPWR a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_843_419# A0 a_84_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X16 VPWR a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_84_21# A1 a_1243_419# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X18 VGND a_1179_311# a_1243_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 X a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_1243_419# a_1179_311# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X22 VGND a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 X a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VGND a_84_21# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 X a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VGND S a_839_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 VPWR a_1179_311# a_1243_419# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X28 VPWR a_84_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 X a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_843_419# S VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X31 X a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_84_21# A1 a_839_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X33 a_84_21# A0 a_843_419# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
.ends