NAME

lsbufiso1p from sky130_fd_sc_lp

DESCRIPTION

????.

FUNCTION

VERILOG

"sky130_fd_sc_lp__lsbufiso1p"
/*
*/


`ifndef SKY130_FD_SC_LP__LSBUFISO1P_FUNCTIONAL_V
`define SKY130_FD_SC_LP__LSBUFISO1P_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_lp__udp_pwrgood_pp_pg.v"

`celldefine
module sky130_fd_sc_lp__lsbufiso1p (
    X    ,
    A    ,
    SLEEP
);

    // Module ports
    output X    ;
    input  A    ;
    input  SLEEP;

    // Local signals
    wire or0_out_X;
    wire destpwr  ;
    wire vgnd     ;

    //                                 Name         Output     Other arguments
    or                                 or0         (or0_out_X, A, SLEEP                );
    sky130_fd_sc_lp__udp_pwrgood_pp$PG pwrgood_pp0 (X        , or0_out_X, destpwr, vgnd);

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__LSBUFISO1P_FUNCTIONAL_V

SPICE