NAME

lsbufiso0p from sky130_fd_sc_lp

DESCRIPTION

????.

FUNCTION

VERILOG

"sky130_fd_sc_lp__lsbufiso0p"
/*
*/


`ifndef SKY130_FD_SC_LP__LSBUFISO0P_FUNCTIONAL_V
`define SKY130_FD_SC_LP__LSBUFISO0P_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_lp__udp_pwrgood_pp_pg.v"

`celldefine
module sky130_fd_sc_lp__lsbufiso0p (
    X    ,
    SLEEP,
    A
);

    // Module ports
    output X    ;
    input  SLEEP;
    input  A    ;

    // Local signals
    wire sleepb    ;
    wire and0_out_X;
    wire destpwr   ;
    wire vgnd      ;

    //                                 Name         Output      Other arguments
    not                                not0        (sleepb    , SLEEP                    );
    and                                and0        (and0_out_X, sleepb, A                );
    sky130_fd_sc_lp__udp_pwrgood_pp$PG pwrgood_pp0 (X         , and0_out_X, destpwr, vgnd);

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__LSBUFISO0P_FUNCTIONAL_V

SPICE