NAME

isobufsrc from sky130_fd_sc_lp

DESCRIPTION

Input isolation, noninverted sleep.

FUNCTION

X = (!A | SLEEP)

VERILOG

"sky130_fd_sc_lp__isobufsrc"
/*
*/


`ifndef SKY130_FD_SC_LP__ISOBUFSRC_FUNCTIONAL_V
`define SKY130_FD_SC_LP__ISOBUFSRC_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__isobufsrc (
    X    ,
    SLEEP,
    A
);

    // Module ports
    output X    ;
    input  SLEEP;
    input  A    ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , SLEEP          );
    and and0 (and0_out_X, not0_out, A    );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__ISOBUFSRC_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__isobufsrc_1

not to scale



.subckt sky130_fd_sc_lp__isobufsrc_1 A SLEEP VGND VNB VPB VPWR X
X0 VPWR SLEEP a_283_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_283_367# a_79_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_79_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_79_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 X a_79_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__isobufsrc_2

not to scale


.subckt sky130_fd_sc_lp__isobufsrc_2 A SLEEP VGND VNB VPB VPWR X
X0 VGND a_40_131# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_283_367# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_283_367# a_40_131# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR SLEEP a_283_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 X a_40_131# a_283_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_40_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_40_131# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 X a_40_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__isobufsrc_4

not to scale


.subckt sky130_fd_sc_lp__isobufsrc_4 A SLEEP VGND VNB VPB VPWR X
X0 a_245_367# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 X a_60_47# a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_60_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 X a_60_47# a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR SLEEP a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_60_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR SLEEP a_245_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_245_367# SLEEP VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 X SLEEP VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_60_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_245_367# a_60_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 X a_60_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_245_367# a_60_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND SLEEP X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 X a_60_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 VGND a_60_47# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends