NAME

invlp from sky130_fd_sc_lp

DESCRIPTION

Low Power Inverter.

FUNCTION

VERILOG

"sky130_fd_sc_lp__invlp"
/*
*/


`ifndef SKY130_FD_SC_LP__INVLP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__INVLP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__invlp (
    Y,
    A
);

    // Module ports
    output Y;
    input  A;

    // Local signals
    wire not0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out_Y, A              );
    buf buf0 (Y         , not0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__INVLP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__invlp_m

not to scale



.subckt sky130_fd_sc_lp__invlp_m A VGND VNB VPB VPWR Y
X0 a_124_92# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND A a_124_92# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_124_490# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR A a_124_490# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__invlp_0

not to scale



.subckt sky130_fd_sc_lp__invlp_0 A VGND VNB VPB VPWR Y
X0 a_124_92# A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VGND A a_124_92# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A a_124_468# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_124_468# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__invlp_1

not to scale



.subckt sky130_fd_sc_lp__invlp_1 A VGND VNB VPB VPWR Y
X0 VPWR A a_130_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_130_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_124_47# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A a_124_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__invlp_2

not to scale


.subckt sky130_fd_sc_lp__invlp_2 A VGND VNB VPB VPWR Y
X0 a_116_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A a_116_55# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_116_55# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_116_55# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR A a_116_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 Y A a_116_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_116_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y A a_116_55# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__invlp_4

not to scale


.subckt sky130_fd_sc_lp__invlp_4 A VGND VNB VPB VPWR Y
X0 a_118_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_118_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A a_118_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_114_53# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_114_53# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y A a_118_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_114_53# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_118_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR A a_118_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A a_118_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 Y A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VGND A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_114_53# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_118_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__invlp_8

not to scale


.subckt sky130_fd_sc_lp__invlp_8 A VGND VNB VPB VPWR Y
X0 Y A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_114_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_114_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_114_53# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 Y A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_114_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_114_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_114_53# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VPWR A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_114_53# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_114_53# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_114_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Y A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_114_53# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Y A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_114_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VPWR A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 a_114_367# A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VGND A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_114_53# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 Y A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VGND A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 Y A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 VPWR A a_114_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 VGND A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_114_53# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 a_114_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_114_53# A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 Y A a_114_53# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends