NAME

inv from sky130_fd_sc_lp

DESCRIPTION

Inverter.

FUNCTION

VERILOG

"sky130_fd_sc_lp__inv"
/*
*/


`ifndef SKY130_FD_SC_LP__INV_FUNCTIONAL_V
`define SKY130_FD_SC_LP__INV_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__inv (
    Y,
    A
);

    // Module ports
    output Y;
    input  A;

    // Local signals
    wire not0_out_Y;

    //  Name  Output      Other arguments
    not not0 (not0_out_Y, A              );
    buf buf0 (Y         , not0_out_Y     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__INV_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__inv_m

not to scale



.subckt sky130_fd_sc_lp__inv_m A VGND VNB VPB VPWR Y
X0 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__inv_0

not to scale



.subckt sky130_fd_sc_lp__inv_0 A VGND VNB VPB VPWR Y
X0 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__inv_1

not to scale



.subckt sky130_fd_sc_lp__inv_1 A VGND VNB VPB VPWR Y
X0 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__inv_2

not to scale


.subckt sky130_fd_sc_lp__inv_2 A VGND VNB VPB VPWR Y
X0 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__inv_4

not to scale


.subckt sky130_fd_sc_lp__inv_4 A VGND VNB VPB VPWR Y
X0 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__inv_8

not to scale


.subckt sky130_fd_sc_lp__inv_8 A VGND VNB VPB VPWR Y
X0 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Y A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR A Y VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__inv_16

not to scale


.subckt sky130_fd_sc_lp__inv_16 A VGND VNB VPB Y
X0 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VGND A Y VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 Y A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 Y A VPB w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 VPB A Y w_n38_331# sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends