NAME

inputiso1p from sky130_fd_sc_lp

DESCRIPTION

Input isolation, noninverted sleep.

FUNCTION

X = (A & !SLEEP)

VERILOG

"sky130_fd_sc_lp__inputiso1p"
/*
*/


`ifndef SKY130_FD_SC_LP__INPUTISO1P_FUNCTIONAL_V
`define SKY130_FD_SC_LP__INPUTISO1P_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__inputiso1p (
    X    ,
    A    ,
    SLEEP
);

    // Module ports
    output X    ;
    input  A    ;
    input  SLEEP;

    //  Name  Output  Other arguments
    or  or0  (X     , A, SLEEP       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__INPUTISO1P_FUNCTIONAL_V

SPICE