NAME

inputiso0n from sky130_fd_sc_lp

DESCRIPTION

Input isolator with inverted enable.

FUNCTION

X = (A & SLEEP_B)

VERILOG

"sky130_fd_sc_lp__inputiso0n"
/*
*/


`ifndef SKY130_FD_SC_LP__INPUTISO0N_FUNCTIONAL_V
`define SKY130_FD_SC_LP__INPUTISO0N_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__inputiso0n (
    X      ,
    A      ,
    SLEEP_B
);

    // Module ports
    output X      ;
    input  A      ;
    input  SLEEP_B;

    //  Name  Output  Other arguments
    and and0 (X     , A, SLEEP_B     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__INPUTISO0N_FUNCTIONAL_V

SPICE