NAME

ha from sky130_fd_sc_lp

DESCRIPTION

Half adder.

FUNCTION

VERILOG

"sky130_fd_sc_lp__ha"
/*
*/


`ifndef SKY130_FD_SC_LP__HA_FUNCTIONAL_V
`define SKY130_FD_SC_LP__HA_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__ha (
    COUT,
    SUM ,
    A   ,
    B
);

    // Module ports
    output COUT;
    output SUM ;
    input  A   ;
    input  B   ;

    // Local signals
    wire and0_out_COUT;
    wire xor0_out_SUM ;

    //  Name  Output         Other arguments
    and and0 (and0_out_COUT, A, B           );
    buf buf0 (COUT         , and0_out_COUT  );
    xor xor0 (xor0_out_SUM , B, A           );
    buf buf1 (SUM          , xor0_out_SUM   );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__HA_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__ha_m

not to scale



.subckt sky130_fd_sc_lp__ha_m A B VGND VNB VPB VPWR COUT SUM
X0 VPWR a_249_212# a_80_60# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR B a_249_212# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_301_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 SUM a_80_60# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR a_249_212# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_80_60# B a_450_464# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_249_212# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND a_249_212# COUT VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_720_125# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 SUM a_80_60# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_80_60# a_249_212# a_301_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_249_212# B a_720_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_450_464# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 VGND A a_301_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__ha_0

not to scale



.subckt sky130_fd_sc_lp__ha_0 A B VGND VNB VPB VPWR COUT SUM
X0 a_80_60# a_204_315# a_307_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_307_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_204_315# a_80_60# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR a_204_315# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_204_315# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VPWR B a_204_315# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VGND a_204_315# COUT VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND A a_307_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_687_135# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_393_491# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_204_315# B a_687_135# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 SUM a_80_60# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_80_60# B a_393_491# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 SUM a_80_60# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__ha_1

not to scale



.subckt sky130_fd_sc_lp__ha_1 A B VGND VNB VPB VPWR COUT SUM
X0 a_401_428# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR B a_223_320# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_80_30# a_223_320# a_307_62# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_307_62# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR a_223_320# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VPWR a_223_320# a_80_30# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_223_320# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND A a_307_62# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 SUM a_80_30# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_223_320# B a_675_146# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_80_30# B a_401_428# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 SUM a_80_30# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 a_675_146# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 VGND a_223_320# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__ha_2

not to scale


.subckt sky130_fd_sc_lp__ha_2 A B VGND VNB VPB VPWR COUT SUM
X0 a_227_397# a_270_95# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_45_121# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A a_155_397# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 COUT a_270_95# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 COUT a_270_95# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND a_227_397# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR a_270_95# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 SUM a_227_397# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND B a_45_121# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_227_397# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 SUM a_227_397# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_155_397# B a_227_397# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_270_95# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VPWR B a_270_95# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_492_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_45_121# a_270_95# a_227_397# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_270_95# B a_492_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_270_95# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__ha_4

not to scale


.subckt sky130_fd_sc_lp__ha_4 A B VGND VNB VPB VPWR COUT SUM
X0 VPWR a_454_263# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_851_47# B a_454_263# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR a_110_263# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR B a_454_263# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VGND A a_1284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_1284_65# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND a_110_263# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_1284_65# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 COUT a_454_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_454_263# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 SUM a_110_263# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND a_454_263# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_110_263# B a_1367_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR a_454_263# a_110_263# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_454_263# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND B a_1284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VPWR A a_454_263# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VGND a_110_263# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_1284_65# a_454_263# a_110_263# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 SUM a_110_263# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 SUM a_110_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 COUT a_454_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_454_263# B a_851_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_454_263# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 COUT a_454_263# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VGND a_454_263# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_1367_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_110_263# a_454_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VGND A a_851_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 a_110_263# a_454_263# a_1284_65# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 SUM a_110_263# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_851_47# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 VPWR a_110_263# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 COUT a_454_263# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X34 a_1367_367# B a_110_263# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X35 VPWR A a_1367_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends