NAME

fahcon from sky130_fd_sc_lp

DESCRIPTION

Full adder, inverted carry in, inverted carry out.

FUNCTION

VERILOG

"sky130_fd_sc_lp__fahcon"
/*
*/


`ifndef SKY130_FD_SC_LP__FAHCON_FUNCTIONAL_V
`define SKY130_FD_SC_LP__FAHCON_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__fahcon (
    COUT_N,
    SUM   ,
    A     ,
    B     ,
    CI
);

    // Module ports
    output COUT_N;
    output SUM   ;
    input  A     ;
    input  B     ;
    input  CI    ;

    // Local signals
    wire xor0_out_SUM ;
    wire a_b          ;
    wire a_ci         ;
    wire b_ci         ;
    wire or0_out_coutn;

    //  Name  Output         Other arguments
    xor xor0 (xor0_out_SUM , A, B, CI       );
    buf buf0 (SUM          , xor0_out_SUM   );
    nor nor0 (a_b          , A, B           );
    nor nor1 (a_ci         , A, CI          );
    nor nor2 (b_ci         , B, CI          );
    or  or0  (or0_out_coutn, a_b, a_ci, b_ci);
    buf buf1 (COUT_N       , or0_out_coutn  );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__FAHCON_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__fahcon_1

not to scale



.subckt sky130_fd_sc_lp__fahcon_1 A B CI VGND VNB VPB VPWR COUT_N SUM
X0 a_247_367# a_329_269# a_367_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_33_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_1708_411# a_359_367# a_1758_87# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 a_1340_412# CI VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_359_367# B a_33_367# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 a_1034_380# a_367_119# COUT_N VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X6 a_33_367# a_329_269# a_359_367# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X7 COUT_N a_359_367# a_1340_412# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_1571_367# a_359_367# a_1758_87# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X9 VPWR CI a_1571_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_33_367# a_247_367# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 COUT_N a_367_119# a_1340_412# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 a_329_269# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_33_367# A VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_1758_87# a_367_119# a_1571_367# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 VPWR a_1758_87# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_33_367# a_329_269# a_367_119# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_329_269# B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_1708_411# a_1571_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X19 VGND CI a_1571_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VGND a_1758_87# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_1708_411# a_1571_367# VGND VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 VPWR a_33_367# a_247_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X23 a_359_367# B a_247_367# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 VGND B a_1034_380# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_1034_380# a_359_367# COUT_N VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X26 a_247_367# a_329_269# a_359_367# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_1340_412# CI VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X28 a_367_119# B a_247_367# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 a_1758_87# a_367_119# a_1708_411# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X30 VPWR B a_1034_380# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X31 a_367_119# B a_33_367# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends