NAME

fa from sky130_fd_sc_lp

DESCRIPTION

Full adder.

FUNCTION

VERILOG

"sky130_fd_sc_lp__fa"
/*
*/


`ifndef SKY130_FD_SC_LP__FA_FUNCTIONAL_V
`define SKY130_FD_SC_LP__FA_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__fa (
    COUT,
    SUM ,
    A   ,
    B   ,
    CIN
);

    // Module ports
    output COUT;
    output SUM ;
    input  A   ;
    input  B   ;
    input  CIN ;

    // Local signals
    wire or0_out     ;
    wire and0_out    ;
    wire and1_out    ;
    wire and2_out    ;
    wire nor0_out    ;
    wire nor1_out    ;
    wire or1_out_COUT;
    wire or2_out_SUM ;

    //  Name  Output        Other arguments
    or  or0  (or0_out     , CIN, B            );
    and and0 (and0_out    , or0_out, A        );
    and and1 (and1_out    , B, CIN            );
    or  or1  (or1_out_COUT, and1_out, and0_out);
    buf buf0 (COUT        , or1_out_COUT      );
    and and2 (and2_out    , CIN, A, B         );
    nor nor0 (nor0_out    , A, or0_out        );
    nor nor1 (nor1_out    , nor0_out, COUT    );
    or  or2  (or2_out_SUM , nor1_out, and2_out);
    buf buf1 (SUM         , or2_out_SUM       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__FA_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__fa_m

not to scale



.subckt sky130_fd_sc_lp__fa_m A B CIN VGND VNB VPB VPWR COUT SUM
X0 VGND A a_843_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_385_367# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND a_1101_119# SUM VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 COUT a_80_241# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1101_119# CIN a_1195_391# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_1195_391# B a_1267_391# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_1267_391# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_227_125# B a_80_241# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1267_119# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 COUT a_80_241# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_843_391# CIN VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_385_125# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR B a_385_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 VGND A a_227_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VGND B a_843_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1195_119# B a_1267_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VGND B a_385_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR A a_227_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_227_367# B a_80_241# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 a_80_241# CIN a_385_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_843_391# a_80_241# a_1101_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VPWR A a_843_391# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_1101_119# CIN a_1195_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_843_119# CIN VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_80_241# CIN a_385_367# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 a_843_119# a_80_241# a_1101_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VPWR B a_843_391# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X27 VPWR a_1101_119# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__fa_0

not to scale



.subckt sky130_fd_sc_lp__fa_0 A B CIN VGND VNB VPB VPWR COUT SUM
X0 VGND A a_382_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_781_119# a_80_225# a_1059_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_781_457# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_224_119# B a_80_225# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR CIN a_781_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_781_119# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_382_119# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_1145_119# B a_1239_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1059_119# CIN a_1161_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VPWR A a_218_532# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND A a_224_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_1059_119# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_1059_119# CIN a_1145_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_80_225# CIN a_382_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1239_457# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VGND CIN a_781_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 COUT a_80_225# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_1161_457# B a_1239_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_781_457# a_80_225# a_1059_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 VPWR A a_404_532# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VGND a_1059_119# SUM VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_80_225# CIN a_404_532# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 COUT a_80_225# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 VGND A a_781_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_218_532# B a_80_225# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 VPWR A a_781_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_1239_119# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_404_532# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__fa_1

not to scale



.subckt sky130_fd_sc_lp__fa_1 A B CIN VGND VNB VPB VPWR COUT SUM
X0 COUT a_80_27# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_417_457# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_1212_411# B a_1290_411# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR A a_231_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR A a_854_411# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_818_83# a_80_27# a_1118_411# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_854_411# CIN VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 a_431_137# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1290_411# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_231_457# B a_80_27# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND A a_267_137# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1118_411# CIN a_1212_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND B a_431_137# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 COUT a_80_27# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_1118_411# CIN a_1212_411# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VPWR B a_854_411# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_854_411# a_80_27# a_1118_411# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 a_267_137# B a_80_27# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_1212_125# B a_1290_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_818_83# CIN VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR B a_417_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_1290_125# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_80_27# CIN a_417_457# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 VPWR a_1118_411# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VGND A a_818_83# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND a_1118_411# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_80_27# CIN a_431_137# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 VGND B a_818_83# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__fa_2

not to scale


.subckt sky130_fd_sc_lp__fa_2 A B CIN VGND VNB VPB VPWR COUT SUM
X0 VPWR a_395_398# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_782_119# CIN a_84_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR A a_710_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VGND a_84_21# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_710_419# B a_782_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_782_419# CIN a_84_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VPWR A a_1653_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_309_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR B a_309_398# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_710_119# B a_782_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_84_21# a_395_398# a_940_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1653_137# B a_395_398# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR B a_941_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VGND A a_710_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_84_21# a_395_398# a_941_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X15 SUM a_84_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_309_131# CIN a_395_398# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_309_398# CIN a_395_398# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_309_398# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_940_119# CIN VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_84_21# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_1653_367# B a_395_398# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_941_419# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 VGND B a_940_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 SUM a_84_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 VGND a_395_398# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X26 a_941_419# CIN VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 COUT a_395_398# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 VGND B a_309_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_940_119# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 VGND A a_1653_137# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 COUT a_395_398# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__fa_4

not to scale


.subckt sky130_fd_sc_lp__fa_4 A B CIN VGND VNB VPB VPWR COUT SUM
X0 a_884_131# CIN a_978_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_978_419# B a_1050_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 COUT a_328_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VPWR a_328_131# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 COUT a_328_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 SUM a_884_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR A a_604_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND B a_37_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR CIN a_604_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 COUT a_328_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VPWR a_328_131# COUT VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_37_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_37_131# CIN a_328_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_27_440# CIN a_328_131# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 VGND CIN a_604_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 VGND A a_604_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_978_131# B a_1050_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR B a_27_440# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_604_419# a_328_131# a_884_131# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_414_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VGND a_884_131# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X21 a_884_131# CIN a_978_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 SUM a_884_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_328_131# B a_445_419# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 VGND a_328_131# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_604_419# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 a_27_440# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X27 a_1050_419# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X28 VPWR a_884_131# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 SUM a_884_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_328_131# B a_414_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_604_131# a_328_131# a_884_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 VGND a_884_131# SUM VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 a_604_131# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 a_1050_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 COUT a_328_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X36 SUM a_884_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 VGND a_328_131# COUT VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 VPWR a_884_131# SUM VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X39 a_445_419# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends