NAME

einvp from sky130_fd_sc_lp

DESCRIPTION

Tri-state inverter, positive enable.

FUNCTION

VERILOG

"sky130_fd_sc_lp__einvp"
/*
*/


`ifndef SKY130_FD_SC_LP__EINVP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__EINVP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__einvp (
    Z ,
    A ,
    TE
);

    // Module ports
    output Z ;
    input  A ;
    input  TE;

    //     Name     Output  Other arguments
    notif1 notif10 (Z     , A, TE          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__EINVP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__einvp_m

not to scale



.subckt sky130_fd_sc_lp__einvp_m A TE VGND VNB VPB VPWR Z
X0 a_227_129# A Z VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_42_129# a_227_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_227_535# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND TE a_227_129# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_42_129# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_42_129# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__einvp_0

not to scale



.subckt sky130_fd_sc_lp__einvp_0 A TE VGND VNB VPB VPWR Z
X0 a_32_70# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VPWR a_32_70# a_220_484# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_32_70# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_220_484# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_201_70# A Z VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND TE a_201_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__einvp_1

not to scale



.subckt sky130_fd_sc_lp__einvp_1 A TE VGND VNB VPB VPWR Z
X0 VGND TE a_207_302# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 Z A a_161_400# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X2 VPWR TE a_207_302# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_161_400# a_207_302# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=150000u
X4 a_128_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Z A a_128_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__einvp_2

not to scale


.subckt sky130_fd_sc_lp__einvp_2 A TE VGND VNB VPB VPWR Z
X0 a_30_131# TE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR a_30_131# a_249_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_30_131# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_249_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_218_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Z A a_218_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_249_367# a_30_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 Z A a_249_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND TE a_218_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_218_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__einvp_4

not to scale


.subckt sky130_fd_sc_lp__einvp_4 A TE VGND VNB VPB VPWR Z
X0 a_301_367# a_35_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR a_35_47# a_301_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 Z A a_301_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND TE a_204_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_301_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_204_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR a_35_47# a_301_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_204_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND TE a_204_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_204_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_301_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 Z A a_204_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_35_47# TE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_204_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_301_367# a_35_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Z A a_204_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_35_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 Z A a_301_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__einvp_8

not to scale


.subckt sky130_fd_sc_lp__einvp_8 A TE VGND VNB VPB VPWR Z
X0 VGND TE a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_371_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 Z A a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_365_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 Z A a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_365_367# a_182_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VPWR a_182_367# a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_365_367# a_182_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_371_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VGND TE a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND TE a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR TE a_182_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND TE a_182_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_371_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_365_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_371_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 Z A a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VGND TE a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_371_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_371_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_365_367# a_182_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VPWR a_182_367# a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 Z A a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VPWR a_182_367# a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_365_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 Z A a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 VPWR a_182_367# a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_365_367# a_182_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 a_371_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Z A a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X30 Z A a_365_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X31 a_365_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X32 a_371_47# TE VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 Z A a_371_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends