NAME

einvn from sky130_fd_sc_lp

DESCRIPTION

Tri-state inverter, negative enable.

FUNCTION

VERILOG

"sky130_fd_sc_lp__einvn"
/*
*/


`ifndef SKY130_FD_SC_LP__EINVN_FUNCTIONAL_V
`define SKY130_FD_SC_LP__EINVN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__einvn (
    Z   ,
    A   ,
    TE_B
);

    // Module ports
    output Z   ;
    input  A   ;
    input  TE_B;

    //     Name     Output  Other arguments
    notif0 notif00 (Z     , A, TE_B        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__EINVN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__einvn_m

not to scale



.subckt sky130_fd_sc_lp__einvn_m A TE_B VGND VNB VPB VPWR Z
X0 a_47_154# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND a_47_154# a_218_154# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR TE_B a_232_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_47_154# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_218_154# A Z VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_232_535# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__einvn_0

not to scale



.subckt sky130_fd_sc_lp__einvn_0 A TE_B VGND VNB VPB VPWR Z
X0 VPWR TE_B a_224_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 a_28_141# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_28_141# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND a_28_141# a_224_141# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_224_481# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_224_141# A Z VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

LAYOUT

sky130_fd_sc_lp__einvn_1

not to scale



.subckt sky130_fd_sc_lp__einvn_1 A TE_B VGND VNB VPB VPWR Z
X0 VGND TE_B a_214_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_166_73# a_214_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_166_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Z A a_166_73# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 VPWR TE_B a_214_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 Z A a_166_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__einvn_2

not to scale


.subckt sky130_fd_sc_lp__einvn_2 A TE_B VGND VNB VPB VPWR Z
X0 a_220_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR TE_B a_220_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VGND a_28_62# a_251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_28_62# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_251_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Z A a_220_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 Z A a_251_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_28_62# TE_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_251_47# a_28_62# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_220_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__einvn_4

not to scale


.subckt sky130_fd_sc_lp__einvn_4 A TE_B VGND VNB VPB VPWR Z
X0 a_87_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR TE_B a_555_201# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 VPWR TE_B a_87_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 Z A a_87_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_87_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_87_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_83_69# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Z A a_83_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR TE_B a_87_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VGND TE_B a_555_201# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 Z A a_83_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND a_555_201# a_83_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_83_69# a_555_201# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_87_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Z A a_87_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_83_69# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND a_555_201# a_83_69# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_83_69# a_555_201# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__einvn_8

not to scale


.subckt sky130_fd_sc_lp__einvn_8 A TE_B VGND VNB VPB VPWR Z
X0 a_305_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_305_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VGND a_110_57# a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_305_47# a_110_57# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_305_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 Z A a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VPWR TE_B a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 VPWR TE_B a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Z A a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR TE_B a_110_57# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_305_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 a_305_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR TE_B a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_305_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_305_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Z A a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 Z A a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_305_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_305_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_305_367# TE_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X20 VPWR TE_B a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_305_47# a_110_57# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 VGND a_110_57# a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_305_47# A Z VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 a_305_47# a_110_57# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 Z A a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X26 Z A a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_305_367# A Z VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X28 VGND a_110_57# a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 Z A a_305_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 a_305_47# a_110_57# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 VGND TE_B a_110_57# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X32 VGND a_110_57# a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X33 Z A a_305_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends