NAME

dlymetal6s6s from sky130_fd_sc_lp

DESCRIPTION

6-inverter delay with output from 6th inverter on horizontal route.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlymetal6s6s"
/*
*/


`ifndef SKY130_FD_SC_LP__DLYMETAL6S6S_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLYMETAL6S6S_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__dlymetal6s6s (
    X,
    A
);

    // Module ports
    output X;
    input  A;

    // Local signals
    wire buf0_out_X;

    //  Name  Output      Other arguments
    buf buf0 (buf0_out_X, A              );
    buf buf1 (X         , buf0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLYMETAL6S6S_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlymetal6s6s_1

not to scale



.subckt sky130_fd_sc_lp__dlymetal6s6s_1 A VGND VNB VPB VPWR X
X0 VGND a_27_131# a_208_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR a_27_131# a_208_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_27_131# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_315_131# a_208_47# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_603_131# a_496_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_27_131# A VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_603_131# X VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 VPWR a_603_131# X VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_315_131# a_208_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND a_315_131# a_496_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 a_603_131# a_496_47# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_315_131# a_496_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends