NAME

dlxtn from sky130_fd_sc_lp

DESCRIPTION

Delay latch, inverted enable, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlxtn"
/*
*/


`ifndef SKY130_FD_SC_LP__DLXTN_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLXTN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_lp__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_lp__dlxtn (
    Q     ,
    D     ,
    GATE_N
);

    // Module ports
    output Q     ;
    input  D     ;
    input  GATE_N;

    // Local signals
    wire GATE          ;
    wire buf_Q         ;
    wire GATE_N_delayed;
    wire D_delayed     ;

    //                            Delay       Name     Output  Other arguments
    sky130_fd_sc_lp__udp_dlatch$P `UNIT_DELAY dlatch0 (buf_Q , D, GATE        );
    not                                       not0    (GATE  , GATE_N         );
    buf                                       buf0    (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLXTN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlxtn_1

not to scale



.subckt sky130_fd_sc_lp__dlxtn_1 D GATE_N VGND VNB VPB VPWR Q
X0 VPWR a_842_413# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_656_47# a_228_129# a_656_481# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND GATE_N a_228_129# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR GATE_N a_228_129# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VPWR a_656_481# a_842_413# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 VGND a_656_481# a_842_413# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND a_842_413# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_342_481# a_228_129# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_342_481# a_228_129# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_656_481# a_342_481# a_836_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_764_481# a_842_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_836_47# a_842_413# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_59_129# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_656_481# a_228_129# a_764_481# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 VPWR a_59_129# a_584_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X15 a_584_481# a_342_481# a_656_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X16 VGND a_59_129# a_656_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_59_129# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dlxtn_2

not to scale


.subckt sky130_fd_sc_lp__dlxtn_2 D GATE_N VGND VNB VPB VPWR Q
X0 VGND a_663_481# a_849_419# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 VPWR GATE_N a_242_130# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_771_481# a_849_419# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR a_663_481# a_849_419# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_669_47# a_242_130# a_663_481# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_849_419# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_663_481# a_242_130# a_771_481# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR a_57_130# a_591_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND GATE_N a_242_130# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_591_481# a_349_481# a_663_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_349_481# a_242_130# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_663_481# a_349_481# a_849_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 Q a_849_419# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_849_47# a_849_419# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 Q a_849_419# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR a_849_419# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_349_481# a_242_130# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_57_130# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_57_130# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 VGND a_57_130# a_669_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dlxtn_4

not to scale


.subckt sky130_fd_sc_lp__dlxtn_4 D GATE_N VGND VNB VPB VPWR Q
X0 VGND a_609_485# a_795_423# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_574_47# a_200_481# a_609_485# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_609_485# a_310_485# a_754_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_27_481# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VGND GATE_N a_200_481# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_795_423# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_754_47# a_795_423# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_795_423# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR a_609_485# a_795_423# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR GATE_N a_200_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_310_485# a_200_481# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR a_27_481# a_537_485# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 VGND a_27_481# a_574_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 Q a_795_423# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Q a_795_423# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VGND a_795_423# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_310_485# a_200_481# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_27_481# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 Q a_795_423# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_717_485# a_795_423# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 Q a_795_423# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VPWR a_795_423# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_609_485# a_200_481# a_717_485# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_537_485# a_310_485# a_609_485# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends