NAME

dlxbn from sky130_fd_sc_lp

DESCRIPTION

Delay latch, inverted enable, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlxbn"
/*
*/


`ifndef SKY130_FD_SC_LP__DLXBN_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLXBN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_lp__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_lp__dlxbn (
    Q     ,
    Q_N   ,
    D     ,
    GATE_N
);

    // Module ports
    output Q     ;
    output Q_N   ;
    input  D     ;
    input  GATE_N;

    // Local signals
    wire GATE          ;
    wire buf_Q         ;
    wire GATE_N_delayed;
    wire D_delayed     ;

    //                            Delay       Name     Output  Other arguments
    sky130_fd_sc_lp__udp_dlatch$P `UNIT_DELAY dlatch0 (buf_Q , D, GATE        );
    not                                       not0    (GATE  , GATE_N         );
    buf                                       buf0    (Q     , buf_Q          );
    not                                       not1    (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLXBN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlxbn_1

not to scale



.subckt sky130_fd_sc_lp__dlxbn_1 D GATE_N VGND VNB VPB VPWR Q Q_N
X0 VPWR GATE_N a_219_135# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND GATE_N a_219_135# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_363_483# a_219_135# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_1069_161# a_806_385# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VGND a_1069_161# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_626_47# a_219_135# a_764_483# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_764_483# a_806_385# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR a_626_47# a_806_385# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_1069_161# a_806_385# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 VPWR a_34_407# a_584_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_584_483# a_363_483# a_626_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_626_47# a_363_483# a_734_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_1069_161# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_734_47# a_806_385# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VGND a_806_385# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR a_806_385# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_363_483# a_219_135# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_34_407# a_554_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND a_626_47# a_806_385# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 a_34_407# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_34_407# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_554_47# a_219_135# a_626_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dlxbn_2

not to scale


.subckt sky130_fd_sc_lp__dlxbn_2 D GATE_N VGND VNB VPB VPWR Q Q_N
X0 VGND a_805_21# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_769_491# a_805_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND a_1138_153# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 Q_N a_1138_153# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_589_491# a_354_47# a_619_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VPWR a_619_47# a_805_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_45_136# a_547_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_45_136# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_805_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_547_47# a_214_136# a_619_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 Q a_805_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 a_354_47# a_214_136# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_45_136# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 a_1138_153# a_805_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 Q a_805_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND GATE_N a_214_136# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR a_1138_153# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_354_47# a_214_136# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_619_47# a_354_47# a_737_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_619_47# a_214_136# a_769_491# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_1138_153# a_805_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VPWR GATE_N a_214_136# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 VPWR a_45_136# a_589_491# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 Q_N a_1138_153# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 VGND a_619_47# a_805_21# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_737_47# a_805_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends