NAME

dlrtp from sky130_fd_sc_lp

DESCRIPTION

Delay latch, inverted reset, non-inverted enable, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlrtp"
/*
*/


`ifndef SKY130_FD_SC_LP__DLRTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLRTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_pr/sky130_fd_sc_lp__udp_dlatch_pr.v"

`celldefine
module sky130_fd_sc_lp__dlrtp (
    Q      ,
    RESET_B,
    D      ,
    GATE
);

    // Module ports
    output Q      ;
    input  RESET_B;
    input  D      ;
    input  GATE   ;

    // Local signals
    wire RESET;
    wire buf_Q;

    //                             Delay       Name     Output  Other arguments
    not                                        not0    (RESET , RESET_B        );
    sky130_fd_sc_lp__udp_dlatch$PR `UNIT_DELAY dlatch0 (buf_Q , D, GATE, RESET );
    buf                                        buf0    (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLRTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlrtp_1

not to scale



.subckt sky130_fd_sc_lp__dlrtp_1 D GATE RESET_B VGND VNB VPB VPWR Q
X0 a_800_473# a_809_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_587_47# a_371_473# a_659_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_41_464# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 a_371_473# a_249_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_659_47# a_249_70# a_767_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_809_21# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 VGND a_809_21# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_371_473# a_249_70# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 VGND GATE a_249_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_809_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_41_464# a_587_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VPWR GATE a_249_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_809_21# a_659_47# a_1056_73# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_1056_73# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_659_47# a_371_473# a_800_473# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_767_47# a_809_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR a_659_47# a_809_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 VPWR a_41_464# a_623_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_623_473# a_249_70# a_659_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_41_464# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dlrtp_2

not to scale


.subckt sky130_fd_sc_lp__dlrtp_2 D GATE RESET_B VGND VNB VPB VPWR Q
X0 Q a_796_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_383_479# a_251_475# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_574_47# a_383_479# a_646_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_646_47# a_251_475# a_754_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR a_796_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_646_47# a_383_479# a_785_479# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_754_47# a_796_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR GATE a_251_475# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_40_54# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_796_21# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_383_479# a_251_475# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 Q a_796_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_40_54# a_574_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_40_54# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_796_21# a_646_47# a_1043_73# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_1043_73# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 VGND GATE a_251_475# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_796_21# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X18 a_785_479# a_796_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 VPWR a_40_54# a_611_479# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_611_479# a_251_475# a_646_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 VPWR a_646_47# a_796_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__dlrtp_4

not to scale


.subckt sky130_fd_sc_lp__dlrtp_4 D GATE RESET_B VGND VNB VPB VPWR Q
X0 Q a_857_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_857_21# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_599_47# a_414_47# a_671_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR a_671_47# a_857_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_49_70# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 Q a_857_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND a_857_21# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_828_469# a_857_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 Q a_857_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_651_469# a_267_464# a_671_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_671_47# a_267_464# a_779_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_779_47# a_857_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_414_47# a_267_464# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 Q a_857_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 VPWR a_857_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND a_49_70# a_599_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_414_47# a_267_464# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_671_47# a_414_47# a_828_469# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 VPWR a_857_21# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_857_21# a_671_47# a_1083_73# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VPWR a_49_70# a_651_469# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 VGND GATE a_267_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_1083_73# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VGND a_857_21# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X24 VPWR GATE a_267_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_49_70# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends