NAME

dlrtn from sky130_fd_sc_lp

DESCRIPTION

Delay latch, inverted reset, inverted enable, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlrtn"
/*
*/


`ifndef SKY130_FD_SC_LP__DLRTN_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLRTN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_pr/sky130_fd_sc_lp__udp_dlatch_pr.v"

`celldefine
module sky130_fd_sc_lp__dlrtn (
    Q      ,
    RESET_B,
    D      ,
    GATE_N
);

    // Module ports
    output Q      ;
    input  RESET_B;
    input  D      ;
    input  GATE_N ;

    // Local signals
    wire RESET  ;
    wire intgate;
    wire buf_Q  ;

    //                             Delay       Name     Output   Other arguments
    not                                        not0    (RESET  , RESET_B          );
    not                                        not1    (intgate, GATE_N           );
    sky130_fd_sc_lp__udp_dlatch$PR `UNIT_DELAY dlatch0 (buf_Q  , D, intgate, RESET);
    buf                                        buf0    (Q      , buf_Q            );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLRTN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlrtn_1

not to scale



.subckt sky130_fd_sc_lp__dlrtn_1 D GATE_N RESET_B VGND VNB VPB VPWR Q
X0 a_670_125# a_270_465# a_778_447# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND GATE_N a_270_465# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_47_47# a_598_447# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VGND a_47_47# a_598_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_820_99# a_670_125# a_1040_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 VPWR GATE_N a_270_465# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 VPWR a_820_99# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_670_125# a_387_385# a_756_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1040_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_778_447# a_820_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_820_99# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VPWR a_670_125# a_820_99# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND a_820_99# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_598_447# a_387_385# a_670_125# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_387_385# a_270_465# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_598_125# a_270_465# a_670_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_756_125# a_820_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_47_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_387_385# a_270_465# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_47_47# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

sky130_fd_sc_lp__dlrtn_2

not to scale


.subckt sky130_fd_sc_lp__dlrtn_2 D GATE_N RESET_B VGND VNB VPB VPWR Q
X0 a_776_99# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 VPWR a_626_125# a_776_99# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X2 a_31_464# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_31_464# a_554_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_776_99# a_626_125# a_996_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_31_464# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_996_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_554_125# a_221_70# a_626_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND a_776_99# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 Q a_776_99# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X10 VGND GATE_N a_221_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_726_125# a_776_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_776_99# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_626_125# a_372_397# a_726_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_626_125# a_221_70# a_763_473# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_763_473# a_776_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 VPWR a_31_464# a_582_473# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_582_473# a_372_397# a_626_125# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_372_397# a_221_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR GATE_N a_221_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_372_397# a_221_70# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 Q a_776_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends

sky130_fd_sc_lp__dlrtn_4

not to scale


.subckt sky130_fd_sc_lp__dlrtn_4 D GATE_N RESET_B VGND VNB VPB VPWR Q
X0 a_357_365# a_250_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR GATE_N a_250_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 VPWR a_789_99# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_567_125# a_250_70# a_639_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_789_99# a_639_125# a_1009_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X5 a_1009_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 VGND a_789_99# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 Q a_789_99# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND a_27_468# a_567_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_27_468# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 VPWR a_789_99# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X11 VGND a_789_99# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 a_748_447# a_789_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 Q a_789_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 Q a_789_99# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_639_125# a_250_70# a_748_447# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 Q a_789_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_725_125# a_789_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VPWR a_27_468# a_567_447# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_567_447# a_357_365# a_639_125# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_357_365# a_250_70# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 a_27_468# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VGND GATE_N a_250_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_789_99# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X24 a_639_125# a_357_365# a_725_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VPWR a_639_125# a_789_99# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends