NAME

dlrbp from sky130_fd_sc_lp

DESCRIPTION

Delay latch, inverted reset, non-inverted enable, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlrbp"
/*
*/


`ifndef SKY130_FD_SC_LP__DLRBP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLRBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_pr/sky130_fd_sc_lp__udp_dlatch_pr.v"

`celldefine
module sky130_fd_sc_lp__dlrbp (
    Q      ,
    Q_N    ,
    RESET_B,
    D      ,
    GATE
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  RESET_B;
    input  D      ;
    input  GATE   ;

    // Local signals
    wire RESET;
    wire buf_Q;

    //                             Delay       Name     Output  Other arguments
    not                                        not0    (RESET , RESET_B        );
    sky130_fd_sc_lp__udp_dlatch$PR `UNIT_DELAY dlatch0 (buf_Q , D, GATE, RESET );
    buf                                        buf0    (Q     , buf_Q          );
    not                                        not1    (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLRBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlrbp_1

not to scale



.subckt sky130_fd_sc_lp__dlrbp_1 D GATE RESET_B VGND VNB VPB VPWR Q Q_N
X0 a_626_119# a_218_483# a_773_525# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_1000_47# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_373_481# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 Q_N a_1187_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 VPWR a_49_93# a_218_483# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 VGND a_776_93# a_1187_131# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_49_93# a_218_483# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_776_93# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR a_626_119# a_776_93# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 VPWR a_776_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_373_481# a_554_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_626_119# a_49_93# a_734_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VGND a_776_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 a_373_481# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 a_773_525# a_776_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_49_93# GATE VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X16 a_554_119# a_218_483# a_626_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_734_119# a_776_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_776_93# a_626_119# a_1000_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VPWR a_373_481# a_596_481# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_596_481# a_49_93# a_626_119# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 VPWR a_776_93# a_1187_131# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 Q_N a_1187_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_49_93# GATE VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dlrbp_2

not to scale


.subckt sky130_fd_sc_lp__dlrbp_2 D GATE RESET_B VGND VNB VPB VPWR Q Q_N
X0 a_781_51# a_823_25# a_432_109# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_823_25# a_1109_21# a_1204_459# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_1204_459# a_1246_339# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X3 VPWR GATE a_1109_21# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VGND a_432_109# a_1067_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_432_109# a_981_503# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 VPWR D a_1246_339# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND a_80_21# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_432_109# a_823_25# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_981_503# a_1023_405# a_823_25# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 VGND a_432_109# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 Q_N a_80_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR RESET_B a_432_109# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 Q a_432_109# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 VPWR a_80_21# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND RESET_B a_781_51# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_1023_405# a_1109_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR a_432_109# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VGND GATE a_1109_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_80_21# a_432_109# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_1225_119# a_1246_339# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_1023_405# a_1109_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 Q a_432_109# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X23 a_823_25# a_1023_405# a_1225_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_80_21# a_432_109# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VGND D a_1246_339# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 Q_N a_80_21# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_1067_119# a_1109_21# a_823_25# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends