NAME

dlclkp from sky130_fd_sc_lp

DESCRIPTION

Clock gate.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dlclkp"
/*
*/


`ifndef SKY130_FD_SC_LP__DLCLKP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DLCLKP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dlatch_p/sky130_fd_sc_lp__udp_dlatch_p.v"

`celldefine
module sky130_fd_sc_lp__dlclkp (
    GCLK,
    GATE,
    CLK
);

    // Module ports
    output GCLK;
    input  GATE;
    input  CLK ;

    // Local signals
    wire m0          ;
    wire clkn        ;
    wire CLK_delayed ;
    wire GATE_delayed;

    //                            Delay       Name     Output  Other arguments
    not                                       not0    (clkn  , CLK            );
    sky130_fd_sc_lp__udp_dlatch$P `UNIT_DELAY dlatch0 (m0    , GATE, clkn     );
    and                                       and0    (GCLK  , m0, CLK        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DLCLKP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dlclkp_1

not to scale



.subckt sky130_fd_sc_lp__dlclkp_1 CLK GATE VGND VNB VPB VPWR GCLK
X0 VPWR a_1046_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_453_480# a_27_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND GATE a_279_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VPWR CLK a_1046_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 a_437_81# a_27_367# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_321_55# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X6 a_27_367# a_80_269# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X7 a_27_367# a_80_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 a_1046_367# a_27_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 VGND CLK a_1002_79# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_279_81# a_321_55# a_80_269# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 VGND a_321_55# a_315_382# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_80_269# a_321_55# a_453_480# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_321_55# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 VPWR a_321_55# a_315_382# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X15 a_1002_79# a_27_367# a_1046_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VPWR GATE a_273_480# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X17 a_273_480# a_315_382# a_80_269# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_80_269# a_315_382# a_437_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND a_1046_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__dlclkp_2

not to scale


.subckt sky130_fd_sc_lp__dlclkp_2 CLK GATE VGND VNB VPB VPWR GCLK
X0 VPWR a_1039_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 GCLK a_1039_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 a_33_47# a_78_269# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 a_258_81# a_300_55# a_78_269# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1039_367# a_33_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 GCLK a_1039_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X6 a_416_81# a_33_47# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_300_55# a_284_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_78_269# a_300_55# a_422_465# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_300_55# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_422_465# a_33_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_242_465# a_284_367# a_78_269# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 VPWR CLK a_1039_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VGND CLK a_1002_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_33_47# a_78_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 VGND GATE a_258_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_78_269# a_284_367# a_416_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 a_300_55# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_1002_133# a_33_47# a_1039_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND a_1039_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VPWR GATE a_242_465# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 VPWR a_300_55# a_284_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

sky130_fd_sc_lp__dlclkp_4

not to scale


.subckt sky130_fd_sc_lp__dlclkp_4 CLK GATE VGND VNB VPB VPWR GCLK
X0 a_411_81# a_27_367# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_295_55# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND GATE a_253_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_253_81# a_295_55# a_73_269# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 VPWR GATE a_235_465# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X5 a_73_269# a_277_367# a_411_81# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_27_367# a_73_269# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 GCLK a_1078_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VGND a_1078_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR a_1078_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 GCLK a_1078_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VGND a_1078_367# GCLK VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X12 VPWR CLK a_1078_367# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VGND CLK a_1026_47# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 GCLK a_1078_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_73_269# a_295_55# a_415_465# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_415_465# a_27_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VPWR a_295_55# a_277_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 a_27_367# a_73_269# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 GCLK a_1078_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_1078_367# a_27_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 VGND a_295_55# a_277_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_235_465# a_277_367# a_73_269# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 a_295_55# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 a_1026_47# a_27_367# a_1078_367# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 VPWR a_1078_367# GCLK VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends