NAME

diode from sky130_fd_sc_lp

DESCRIPTION

Antenna tie-down diode.

FUNCTION

VERILOG

"sky130_fd_sc_lp__diode"
/*
*/


`ifndef SKY130_FD_SC_LP__DIODE_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DIODE_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__diode (
    DIODE
);

    // Module ports
    input DIODE;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DIODE_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__diode_0

not to scale



.subckt sky130_fd_sc_lp__diode_0 DIODE VGND VNB VPB VPWR
.ends

LAYOUT

sky130_fd_sc_lp__diode_1

not to scale



.subckt sky130_fd_sc_lp__diode_1 DIODE VGND VNB VPB VPWR
.ends