NAME

dfxtp from sky130_fd_sc_lp

DESCRIPTION

Delay flop, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dfxtp"
/*
*/


`ifndef SKY130_FD_SC_LP__DFXTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFXTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_p/sky130_fd_sc_lp__udp_dff_p.v"

`celldefine
module sky130_fd_sc_lp__dfxtp (
    Q  ,
    CLK,
    D
);

    // Module ports
    output Q  ;
    input  CLK;
    input  D  ;

    // Local signals
    wire buf_Q;

    //                         Delay       Name  Output  Other arguments
    sky130_fd_sc_lp__udp_dff$P `UNIT_DELAY dff0 (buf_Q , D, CLK         );
    buf                                    buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DFXTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dfxtp_1

not to scale



.subckt sky130_fd_sc_lp__dfxtp_1 CLK D VGND VNB VPB VPWR Q
X0 VGND CLK a_110_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_440_413# a_110_70# a_526_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_668_137# a_110_70# a_957_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X3 VPWR D a_440_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_626_163# a_668_137# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VGND a_957_379# a_1158_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR CLK a_110_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_217_413# a_110_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1116_119# a_1158_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_526_413# a_217_413# a_626_163# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_217_413# a_110_70# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_526_413# a_110_70# a_666_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_957_379# a_110_70# a_1116_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_666_413# a_668_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 VGND a_1158_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 VPWR a_957_379# a_1158_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 VGND a_526_413# a_668_137# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_440_413# a_217_413# a_526_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_957_379# a_217_413# a_1116_379# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 a_1116_379# a_1158_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VPWR a_1158_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X21 a_668_137# a_217_413# a_957_379# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VPWR a_526_413# a_668_137# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 VGND D a_440_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dfxtp_2

not to scale


.subckt sky130_fd_sc_lp__dfxtp_2 CLK D VGND VNB VPB VPWR Q
X0 a_679_93# a_110_62# a_1004_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X1 a_637_119# a_679_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_1175_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 a_1004_379# a_240_443# a_1163_379# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 a_1163_379# a_1175_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND a_551_119# a_679_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 a_1004_379# a_110_62# a_1133_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR D a_432_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VGND D a_432_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR CLK a_110_62# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X10 a_240_443# a_110_62# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 Q a_1175_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND CLK a_110_62# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_679_93# a_240_443# a_1004_379# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_432_119# a_240_443# a_551_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1133_119# a_1175_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 VGND a_1004_379# a_1175_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_551_119# a_240_443# a_637_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_551_119# a_110_62# a_705_443# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X19 a_705_443# a_679_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VPWR a_551_119# a_679_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 VGND a_1175_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 Q a_1175_93# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 a_240_443# a_110_62# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR a_1004_379# a_1175_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 a_432_119# a_110_62# a_551_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dfxtp_4

not to scale


.subckt sky130_fd_sc_lp__dfxtp_4 CLK D VGND VNB VPB VPWR Q
X0 VGND CLK a_110_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_941_379# a_110_70# a_1070_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND a_941_379# a_1112_93# VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X3 VPWR a_1112_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X4 a_684_93# a_110_70# a_941_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X5 VGND a_1112_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X6 a_526_413# a_217_413# a_642_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_941_379# a_1112_93# VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 VPWR D a_431_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VGND D a_431_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VPWR CLK a_110_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 VGND a_526_413# a_684_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X12 Q a_1112_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_431_119# a_110_70# a_526_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_941_379# a_217_413# a_1116_441# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1116_441# a_1112_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 Q a_1112_93# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X17 a_217_413# a_110_70# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X18 VGND a_1112_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 Q a_1112_93# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_526_413# a_110_70# a_666_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_217_413# a_110_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_642_119# a_684_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_666_413# a_684_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 a_431_119# a_217_413# a_526_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 a_1070_119# a_1112_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 Q a_1112_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X27 a_684_93# a_217_413# a_941_379# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VPWR a_526_413# a_684_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 VPWR a_1112_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
.ends