NAME

dfxbp from sky130_fd_sc_lp

DESCRIPTION

Delay flop, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dfxbp"
/*
*/


`ifndef SKY130_FD_SC_LP__DFXBP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFXBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_p/sky130_fd_sc_lp__udp_dff_p.v"

`celldefine
module sky130_fd_sc_lp__dfxbp (
    Q  ,
    Q_N,
    CLK,
    D
);

    // Module ports
    output Q  ;
    output Q_N;
    input  CLK;
    input  D  ;

    // Local signals
    wire buf_Q;

    //                         Delay       Name  Output  Other arguments
    sky130_fd_sc_lp__udp_dff$P `UNIT_DELAY dff0 (buf_Q , D, CLK         );
    buf                                    buf0 (Q     , buf_Q          );
    not                                    not0 (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DFXBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dfxbp_1

not to scale



.subckt sky130_fd_sc_lp__dfxbp_1 CLK D VGND VNB VPB VPWR Q Q_N
X0 VGND a_526_463# a_697_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_1401_22# a_1149_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_440_463# a_110_82# a_526_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_997_119# a_110_82# a_1105_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_440_463# a_217_463# a_526_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_1401_22# a_1149_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_650_499# a_697_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VPWR a_997_119# a_1149_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_697_93# a_217_463# a_997_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VGND D a_440_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_997_119# a_1149_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 VPWR CLK a_110_82# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_1137_379# a_1149_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_526_463# a_217_463# a_655_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_697_93# a_110_82# a_997_119# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 VPWR a_1401_22# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X16 a_1105_119# a_1149_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR a_1149_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_217_463# a_110_82# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND a_1401_22# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 VPWR D a_440_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_655_119# a_697_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VGND a_1149_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VGND CLK a_110_82# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_526_463# a_110_82# a_650_499# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 a_217_463# a_110_82# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VPWR a_526_463# a_697_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_997_119# a_217_463# a_1137_379# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__dfxbp_2

not to scale


.subckt sky130_fd_sc_lp__dfxbp_2 CLK D VGND VNB VPB VPWR Q Q_N
X0 VGND a_1513_137# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 a_537_119# a_110_70# a_669_499# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VGND CLK a_110_70# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_236_463# a_110_70# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 Q a_1169_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_670_93# a_236_463# a_982_369# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_1157_453# a_1169_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 VGND a_1169_93# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 a_429_119# a_236_463# a_537_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 Q_N a_1513_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_537_119# a_670_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 a_537_119# a_236_463# a_628_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR a_1169_93# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 VPWR a_537_119# a_670_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X14 a_982_369# a_236_463# a_1157_453# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_429_119# a_110_70# a_537_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_982_369# a_110_70# a_1125_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND a_982_369# a_1169_93# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X18 a_1513_137# a_1169_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X19 a_236_463# a_110_70# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 VPWR a_982_369# a_1169_93# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X21 Q a_1169_93# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X22 a_1513_137# a_1169_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_670_93# a_110_70# a_982_369# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X24 a_628_119# a_670_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 VPWR CLK a_110_70# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X26 VGND D a_429_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 Q_N a_1513_137# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X28 a_669_499# a_670_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 VPWR a_1513_137# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X30 VPWR D a_429_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_1125_119# a_1169_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends