NAME

dfstp from sky130_fd_sc_lp

DESCRIPTION

Delay flop, inverted set, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dfstp"
/*
*/


`ifndef SKY130_FD_SC_LP__DFSTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFSTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_lp__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_lp__dfstp (
    Q    ,
    CLK  ,
    D    ,
    SET_B
);

    // Module ports
    output Q    ;
    input  CLK  ;
    input  D    ;
    input  SET_B;

    // Local signals
    wire buf_Q;
    wire SET  ;

    //                          Delay       Name  Output  Other arguments
    not                                     not0 (SET   , SET_B          );
    sky130_fd_sc_lp__udp_dff$PS `UNIT_DELAY dff0 (buf_Q , D, CLK, SET    );
    buf                                     buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DFSTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dfstp_1

not to scale



.subckt sky130_fd_sc_lp__dfstp_1 CLK D SET_B VGND VNB VPB VPWR Q
X0 a_614_93# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 VGND a_33_463# a_202_463# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VPWR a_486_119# a_614_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VPWR a_1175_417# a_1329_65# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X4 VPWR SET_B a_1175_417# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 VGND D a_400_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_486_119# a_202_463# a_572_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_486_119# a_33_463# a_582_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_582_463# a_614_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 a_1832_131# a_1175_417# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_614_93# a_486_119# a_853_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1287_91# a_1329_65# a_1359_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_1175_417# a_33_463# a_985_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X13 VPWR a_1832_131# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X14 a_853_47# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1832_131# a_1175_417# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X16 a_1092_417# a_202_463# a_1175_417# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VPWR a_486_119# a_985_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 a_1110_47# a_202_463# a_1175_417# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_1175_417# a_33_463# a_1287_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_1359_91# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_33_463# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_33_463# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VGND a_1175_417# a_1329_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR a_33_463# a_202_463# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 a_1092_417# a_1329_65# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_400_119# a_33_463# a_486_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 VGND a_486_119# a_1110_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 VGND a_1832_131# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X29 VPWR D a_400_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_400_119# a_202_463# a_486_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_572_119# a_614_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dfstp_2

not to scale


.subckt sky130_fd_sc_lp__dfstp_2 CLK D SET_B VGND VNB VPB VPWR Q
X0 VGND a_27_465# a_196_465# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_614_93# a_486_119# a_857_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND D a_400_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_1855_47# a_1158_47# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_400_119# a_196_465# a_486_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_486_119# a_196_465# a_572_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_857_47# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 Q a_1855_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VPWR D a_400_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X9 VPWR a_486_119# a_614_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_614_93# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X11 a_27_465# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_1086_47# a_196_465# a_1158_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_486_119# a_27_465# a_572_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_572_463# a_614_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 VPWR SET_B a_1158_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 Q a_1855_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X17 a_1339_91# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 VGND a_1158_47# a_1309_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VGND a_1855_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X20 a_1095_425# a_1309_65# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VPWR a_1158_47# a_1309_65# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X22 a_1855_47# a_1158_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 a_1267_91# a_1309_65# a_1339_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 a_1158_47# a_27_465# a_988_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X25 VGND a_486_119# a_1086_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X26 VPWR a_486_119# a_988_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_1095_425# a_196_465# a_1158_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 VPWR a_27_465# a_196_465# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X29 a_400_119# a_27_465# a_486_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1158_47# a_27_465# a_1267_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X31 a_572_119# a_614_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 VPWR a_1855_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 a_27_465# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends

sky130_fd_sc_lp__dfstp_4

not to scale


.subckt sky130_fd_sc_lp__dfstp_4 CLK D SET_B VGND VNB VPB VPWR Q
X0 VPWR a_562_119# a_690_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X1 a_562_119# a_30_99# a_690_463# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 a_690_463# a_690_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_30_99# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_648_119# a_690_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_1247_47# a_30_99# a_1356_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR a_30_99# a_230_465# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VGND D a_476_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_1356_91# a_1398_65# a_1428_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_562_119# a_1094_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_562_119# a_230_465# a_648_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1247_47# a_30_99# a_1094_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X12 VPWR a_1247_47# a_1398_65# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 a_1989_49# a_1247_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 Q a_1989_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 Q a_1989_49# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X16 a_1201_407# a_1398_65# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VPWR a_1989_49# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 a_1989_49# a_1247_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 a_476_119# a_30_99# a_562_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X20 a_914_47# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_1175_47# a_230_465# a_1247_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 VGND a_1989_49# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X23 VPWR D a_476_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 Q a_1989_49# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_1428_91# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VGND a_1989_49# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 VPWR SET_B a_1247_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 Q a_1989_49# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X29 VGND a_1247_47# a_1398_65# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_1201_407# a_230_465# a_1247_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 VGND a_30_99# a_230_465# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 a_476_119# a_230_465# a_562_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 a_690_93# a_562_119# a_914_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND a_562_119# a_1175_47# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X35 a_30_99# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X36 VPWR a_1989_49# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 a_690_93# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends