NAME

dfsbp from sky130_fd_sc_lp

DESCRIPTION

Delay flop, inverted set, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dfsbp"
/*
*/


`ifndef SKY130_FD_SC_LP__DFSBP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFSBP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_ps/sky130_fd_sc_lp__udp_dff_ps.v"

`celldefine
module sky130_fd_sc_lp__dfsbp (
    Q    ,
    Q_N  ,
    CLK  ,
    D    ,
    SET_B
);

    // Module ports
    output Q    ;
    output Q_N  ;
    input  CLK  ;
    input  D    ;
    input  SET_B;

    // Local signals
    wire buf_Q;
    wire SET  ;

    //                          Delay       Name  Output  Other arguments
    not                                     not0 (SET   , SET_B          );
    sky130_fd_sc_lp__udp_dff$PS `UNIT_DELAY dff0 (buf_Q , D, CLK, SET    );
    buf                                     buf0 (Q     , buf_Q          );
    not                                     not1 (Q_N   , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DFSBP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dfsbp_1

not to scale



.subckt sky130_fd_sc_lp__dfsbp_1 CLK D SET_B VGND VNB VPB VPWR Q Q_N
X0 VGND a_1331_151# a_2005_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 VPWR CLK a_111_156# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X2 a_494_119# a_111_156# a_580_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_1331_151# a_161_21# a_1141_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X4 a_1472_449# a_1535_177# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_1248_151# a_1535_177# a_1657_71# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND CLK a_111_156# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR a_1331_151# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X8 Q a_2005_119# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X9 a_1657_71# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 Q a_2005_119# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X11 VPWR SET_B a_1331_151# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 VPWR D a_494_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X13 VGND a_1331_151# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X14 a_708_93# a_580_119# a_964_169# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1331_151# a_161_21# a_1472_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_666_119# a_708_93# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR a_580_119# a_708_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X18 a_1535_177# a_1331_151# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_580_119# a_111_156# a_687_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 a_687_533# a_708_93# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 VPWR a_1331_151# a_2005_119# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X22 a_161_21# a_111_156# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X23 a_494_119# a_161_21# a_580_119# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 a_1535_177# a_1331_151# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X25 VGND D a_494_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1259_449# a_111_156# a_1331_151# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X27 a_580_119# a_161_21# a_666_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 VGND a_580_119# a_1141_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X29 a_1248_151# a_111_156# a_1331_151# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_708_93# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 VPWR a_580_119# a_1259_449# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X32 a_161_21# a_111_156# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 a_964_169# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_lp__dfsbp_2

not to scale


.subckt sky130_fd_sc_lp__dfsbp_2 CLK D SET_B VGND VNB VPB VPWR Q Q_N
X0 VPWR a_2227_367# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X1 a_1677_91# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 a_721_99# SET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_507_125# a_129_179# a_593_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_1533_258# a_1360_451# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_679_125# a_721_99# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 Q_N a_1360_451# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X7 a_1533_258# a_1360_451# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND a_1360_451# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR a_593_125# a_721_99# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_191_21# a_129_179# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_721_99# a_593_125# a_996_169# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 Q_N a_1360_451# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X13 VPWR SET_B a_1360_451# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 Q a_2227_367# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X15 a_1360_451# a_191_21# a_1468_451# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1468_451# a_1533_258# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X17 VGND a_1360_451# a_2227_367# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_1280_159# a_1533_258# a_1677_91# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR a_593_125# a_1288_451# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 VGND CLK a_129_179# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VPWR a_1360_451# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X22 a_1280_159# a_129_179# a_1360_451# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 a_191_21# a_129_179# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X24 VGND a_593_125# a_1173_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X25 a_996_169# SET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 VGND a_2227_367# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X27 a_593_125# a_129_179# a_701_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 a_701_535# a_721_99# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 VPWR a_1360_451# a_2227_367# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X30 Q a_2227_367# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X31 a_507_125# a_191_21# a_593_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 a_1288_451# a_129_179# a_1360_451# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X33 VPWR D a_507_125# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X34 VGND D a_507_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 a_593_125# a_191_21# a_679_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 a_1360_451# a_191_21# a_1173_125# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X37 VPWR CLK a_129_179# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
.ends