NAME

dfrtp from sky130_fd_sc_lp

DESCRIPTION

Delay flop, inverted reset, single output.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dfrtp"
/*
*/


`ifndef SKY130_FD_SC_LP__DFRTP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFRTP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_pr/sky130_fd_sc_lp__udp_dff_pr.v"

`celldefine
module sky130_fd_sc_lp__dfrtp (
    Q      ,
    CLK    ,
    D      ,
    RESET_B
);

    // Module ports
    output Q      ;
    input  CLK    ;
    input  D      ;
    input  RESET_B;

    // Local signals
    wire buf_Q;
    wire RESET;

    //                          Delay       Name  Output  Other arguments
    not                                     not0 (RESET , RESET_B        );
    sky130_fd_sc_lp__udp_dff$PR `UNIT_DELAY dff0 (buf_Q , D, CLK, RESET  );
    buf                                     buf0 (Q     , buf_Q          );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DFRTP_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dfrtp_1

not to scale



.subckt sky130_fd_sc_lp__dfrtp_1 CLK D RESET_B VGND VNB VPB VPWR Q
X0 VGND a_27_114# a_196_462# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_304_533# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X2 VPWR RESET_B a_559_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 VGND a_1832_367# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_1417_133# a_1467_419# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_559_533# a_27_114# a_653_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X6 a_492_149# D a_304_533# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_695_375# a_27_114# a_1247_89# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X8 a_1832_367# a_1247_89# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X9 a_1247_89# a_196_462# a_1379_517# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X10 a_1593_133# a_1247_89# a_1467_419# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_304_533# a_196_462# a_559_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 VPWR a_27_114# a_196_462# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X13 VPWR D a_304_533# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X14 a_803_149# a_695_375# a_875_149# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_695_375# a_196_462# a_1247_89# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X16 VGND RESET_B a_492_149# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR a_559_533# a_695_375# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 VGND RESET_B a_1593_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 a_27_114# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X20 a_304_533# a_27_114# a_559_533# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_559_533# a_196_462# a_803_149# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_1467_419# a_1247_89# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_27_114# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR a_1832_367# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_1832_367# a_1247_89# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_1247_89# a_27_114# a_1417_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_875_149# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X28 a_653_533# a_695_375# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 VPWR RESET_B a_1467_419# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 VGND a_559_533# a_695_375# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X31 a_1379_517# a_1467_419# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends

sky130_fd_sc_lp__dfrtp_2

not to scale


.subckt sky130_fd_sc_lp__dfrtp_2 CLK D RESET_B VGND VNB VPB VPWR Q
X0 VPWR a_27_101# a_196_464# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X1 VGND a_573_535# a_709_411# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 a_709_411# a_196_464# a_1252_451# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X3 a_27_101# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X4 VPWR RESET_B a_1399_473# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X5 a_1252_451# a_27_101# a_1399_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_483_78# D a_318_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_318_535# a_196_464# a_573_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 a_811_119# a_709_411# a_883_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 Q a_1836_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 VGND a_27_101# a_196_464# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_1252_451# a_196_464# a_1357_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X12 a_27_101# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_573_535# a_196_464# a_811_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X14 a_1399_473# a_1252_451# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X15 a_1399_125# a_1399_473# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X16 a_318_535# a_27_101# a_573_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VPWR a_1836_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X18 VGND a_1836_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X19 VPWR a_573_535# a_709_411# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_1593_125# a_1252_451# a_1399_473# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 a_1836_47# a_1252_451# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 VGND RESET_B a_483_78# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VPWR RESET_B a_573_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 a_1836_47# a_1252_451# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X25 VGND RESET_B a_1593_125# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X26 a_883_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_709_411# a_27_101# a_1252_451# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X28 a_573_535# a_27_101# a_667_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X29 a_667_535# a_709_411# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X30 a_1357_535# a_1399_473# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_318_535# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 VPWR D a_318_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X33 Q a_1836_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
.ends

sky130_fd_sc_lp__dfrtp_4

not to scale


.subckt sky130_fd_sc_lp__dfrtp_4 CLK D RESET_B VGND VNB VPB VPWR Q
X0 VGND a_1891_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X1 Q a_1891_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X2 VPWR RESET_B a_595_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X3 a_1449_133# a_1475_426# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 Q a_1891_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X5 a_829_119# a_731_405# a_905_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VPWR RESET_B a_1475_426# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X7 Q a_1891_47# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X8 VGND a_1891_47# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 a_1891_47# a_1255_449# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X10 a_27_90# CLK VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 Q a_1891_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VPWR a_1891_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X13 a_731_405# a_216_462# a_1255_449# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_1891_47# a_1255_449# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X15 a_595_535# a_27_90# a_689_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 VPWR a_595_535# a_731_405# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 a_27_90# CLK VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X18 a_595_535# a_216_462# a_829_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X19 VPWR D a_340_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X20 VGND a_27_90# a_216_462# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X21 VGND RESET_B a_531_119# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X22 a_340_535# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X23 a_340_535# a_27_90# a_595_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X24 VPWR a_1891_47# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X25 a_1475_426# a_1255_449# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 a_905_119# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X27 a_731_405# a_27_90# a_1255_449# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X28 a_1255_449# a_27_90# a_1449_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1697_133# a_1255_449# a_1475_426# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X30 a_689_535# a_731_405# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_340_535# a_216_462# a_595_535# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 VPWR a_27_90# a_216_462# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X33 a_531_119# D a_340_535# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND a_595_535# a_731_405# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X35 VGND RESET_B a_1697_133# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 a_1255_449# a_216_462# a_1380_488# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X37 a_1380_488# a_1475_426# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
.ends