NAME

dfbbn from sky130_fd_sc_lp

DESCRIPTION

Delay flop, inverted set, inverted reset, inverted clock, complementary outputs.

FUNCTION

VERILOG

"sky130_fd_sc_lp__dfbbn"
/*
*/


`ifndef SKY130_FD_SC_LP__DFBBN_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFBBN_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_dff_nsr/sky130_fd_sc_lp__udp_dff_nsr.v"

`celldefine
module sky130_fd_sc_lp__dfbbn (
    Q      ,
    Q_N    ,
    D      ,
    CLK_N  ,
    SET_B  ,
    RESET_B
);

    // Module ports
    output Q      ;
    output Q_N    ;
    input  D      ;
    input  CLK_N  ;
    input  SET_B  ;
    input  RESET_B;

    // Local signals
    wire RESET          ;
    wire SET            ;
    wire CLK            ;
    wire buf_Q          ;
    wire CLK_N_delayed  ;
    wire RESET_B_delayed;
    wire SET_B_delayed  ;

    //                           Delay       Name  Output  Other arguments
    not                                      not0 (RESET , RESET_B           );
    not                                      not1 (SET   , SET_B             );
    not                                      not2 (CLK   , CLK_N             );
    sky130_fd_sc_lp__udp_dff$NSR `UNIT_DELAY dff0 (buf_Q , SET, RESET, CLK, D);
    buf                                      buf0 (Q     , buf_Q             );
    not                                      not3 (Q_N   , buf_Q             );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DFBBN_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_lp__dfbbn_1

not to scale



.subckt sky130_fd_sc_lp__dfbbn_1 CLK_N D RESET_B SET_B VGND VNB VPB VPWR Q Q_N
X0 a_1013_66# a_546_449# a_755_398# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X1 a_1741_137# a_1531_428# a_2036_451# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X2 a_702_110# a_755_398# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_2511_137# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X4 a_1693_163# a_1741_137# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_1741_137# a_1186_21# a_1896_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X6 VPWR CLK_N a_113_67# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 a_707_449# a_755_398# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X8 VGND a_1741_137# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X9 VPWR SET_B a_1741_137# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X10 a_2511_137# a_1741_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X11 a_223_119# a_113_67# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X12 a_223_119# a_113_67# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_1442_119# a_113_67# a_1531_428# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X14 a_2511_137# a_1741_137# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X15 a_1649_512# a_1741_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X16 a_1228_379# a_1186_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X17 VPWR a_755_398# a_1436_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 VGND a_755_398# a_1442_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X19 a_1436_379# a_223_119# a_1531_428# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_1186_21# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X21 a_1896_119# a_1531_428# a_1741_137# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X22 a_2036_451# a_1186_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X23 a_1531_428# a_113_67# a_1649_512# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X24 VGND D a_460_449# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X25 a_546_449# a_223_119# a_707_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X26 VGND SET_B a_1013_66# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 a_460_449# a_113_67# a_546_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X28 VPWR SET_B a_755_398# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X29 a_755_398# a_1186_21# a_1013_66# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X30 VPWR D a_460_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_1186_21# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X32 VGND CLK_N a_113_67# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X33 VPWR a_1741_137# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X34 a_755_398# a_546_449# a_1228_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X35 a_460_449# a_223_119# a_546_449# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X36 VPWR a_2511_137# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X37 a_1531_428# a_223_119# a_1693_163# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X38 a_546_449# a_113_67# a_702_110# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X39 VGND SET_B a_1896_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
.ends

sky130_fd_sc_lp__dfbbn_2

not to scale


.subckt sky130_fd_sc_lp__dfbbn_2 CLK_N D RESET_B SET_B VGND VNB VPB VPWR Q Q_N
X0 a_1542_428# a_223_119# a_1698_163# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_1911_119# a_1542_428# a_1746_137# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X2 VPWR a_1746_137# Q_N VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X3 VGND CLK_N a_113_57# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 a_223_119# a_113_57# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_463_449# a_223_119# a_549_449# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 a_2618_131# a_1746_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X7 VPWR CLK_N a_113_57# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X8 a_1698_163# a_1746_137# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_789_78# a_1191_21# a_1018_60# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X10 VGND SET_B a_1911_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X11 Q_N a_1746_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X12 VGND SET_B a_1018_60# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X13 a_223_119# a_113_57# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X14 VPWR a_789_78# a_1447_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X15 a_1447_379# a_223_119# a_1542_428# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X16 a_1447_119# a_113_57# a_1542_428# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X17 a_2048_428# a_1191_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X18 VPWR a_2618_131# Q VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X19 VPWR SET_B a_789_78# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X20 a_1644_506# a_1746_137# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X21 a_1119_379# a_1191_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X22 a_549_449# a_113_57# a_705_104# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X23 VGND a_789_78# a_1447_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X24 VGND a_1746_137# Q_N VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X25 a_1746_137# a_1542_428# a_2048_428# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X26 a_1018_60# a_549_449# a_789_78# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X27 a_1746_137# a_1191_21# a_1911_119# VNB sky130_fd_pr__nfet_01v8 w=640000u l=150000u
X28 a_705_104# a_789_78# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X29 a_1191_21# RESET_B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=150000u
X30 a_549_449# a_223_119# a_709_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X31 a_709_449# a_789_78# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X32 Q a_2618_131# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1.26e+06u l=150000u
X33 a_1191_21# RESET_B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X34 VGND D a_463_449# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X35 VPWR SET_B a_1746_137# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
X36 Q_N a_1746_137# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X37 VGND a_2618_131# Q VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X38 a_463_449# a_113_57# a_549_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X39 a_2618_131# a_1746_137# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X40 VPWR D a_463_449# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X41 a_1542_428# a_113_57# a_1644_506# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=150000u
X42 Q a_2618_131# VGND VNB sky130_fd_pr__nfet_01v8 w=840000u l=150000u
X43 a_789_78# a_549_449# a_1119_379# VPB sky130_fd_pr__pfet_01v8_hvt w=840000u l=150000u
.ends