NAME

decapkapwr from sky130_fd_sc_lp

DESCRIPTION

Decoupling capacitance filler on keep-alive rail.

FUNCTION

VERILOG

"sky130_fd_sc_lp__decapkapwr"
/*
*/


`ifndef SKY130_FD_SC_LP__DECAPKAPWR_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DECAPKAPWR_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__decapkapwr ();
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DECAPKAPWR_FUNCTIONAL_V

SPICE

sky130_fd_sc_lp__decapkapwr_3

not to scale


.subckt sky130_fd_sc_lp__decapkapwr_3 KAPWR VGND VNB VPB VPWR
X0 VGND KAPWR VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=500000u
X1 KAPWR VGND KAPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=500000u
.ends

sky130_fd_sc_lp__decapkapwr_4

not to scale


.subckt sky130_fd_sc_lp__decapkapwr_4 KAPWR VGND VNB VPB VPWR
X0 VGND KAPWR VGND VNB sky130_fd_pr__nfet_01v8 w=1e+06u l=1e+06u
X1 KAPWR VGND KAPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=1e+06u
.ends

sky130_fd_sc_lp__decapkapwr_6

not to scale


.subckt sky130_fd_sc_lp__decapkapwr_6 KAPWR VGND VNB VPB VPWR
X0 VGND KAPWR VGND VNB sky130_fd_pr__nfet_01v8 w=1e+06u l=2e+06u
X1 KAPWR VGND KAPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=2e+06u
.ends

sky130_fd_sc_lp__decapkapwr_8

not to scale


.subckt sky130_fd_sc_lp__decapkapwr_8 KAPWR VGND VNB VPB VPWR
X0 KAPWR VGND KAPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=2e+06u
X1 VGND KAPWR VGND VNB sky130_fd_pr__nfet_01v8 w=1e+06u l=2e+06u
.ends