NAME

decap from sky130_fd_sc_lp

DESCRIPTION

Decoupling capacitance filler.

FUNCTION

VERILOG

"sky130_fd_sc_lp__decap"
/*
*/


`ifndef SKY130_FD_SC_LP__DECAP_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DECAP_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__decap ();
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__DECAP_FUNCTIONAL_V

SPICE

sky130_fd_sc_lp__decap_3

not to scale


.subckt sky130_fd_sc_lp__decap_3 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=550000u l=500000u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=500000u
.ends

sky130_fd_sc_lp__decap_4

not to scale


.subckt sky130_fd_sc_lp__decap_4 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=1e+06u l=1e+06u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=1e+06u
.ends

sky130_fd_sc_lp__decap_6

not to scale


.subckt sky130_fd_sc_lp__decap_6 VGND VNB VPB VPWR
X0 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=1e+06u l=2e+06u
X1 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=2e+06u
.ends

sky130_fd_sc_lp__decap_8

not to scale


.subckt sky130_fd_sc_lp__decap_8 VGND VNB VPB VPWR
X0 VPWR VGND VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=2e+06u
X1 VGND VPWR VGND VNB sky130_fd_pr__nfet_01v8 w=1e+06u l=2e+06u
.ends