NAME

busdrivernovlp2 from sky130_fd_sc_lp

DESCRIPTION

Bus driver, enable gates pulldown only (pmos devices).

FUNCTION

VERILOG

"sky130_fd_sc_lp__busdrivernovlp2"
/*
*/


`ifndef SKY130_FD_SC_LP__BUSDRIVERNOVLP2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__BUSDRIVERNOVLP2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_lp__busdrivernovlp2 (
    Z   ,
    A   ,
    TE_B
);

    // Module ports
    output Z   ;
    input  A   ;
    input  TE_B;

    //     Name     Output  Other arguments
    bufif0 bufif00 (Z     , A, TE_B        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_LP__BUSDRIVERNOVLP2_FUNCTIONAL_V

SPICE